数字逻辑实验汽车尾灯非常全面的实验设计报告!!!!
2021-06-10 15:12:04 7.21MB 汽车尾灯
1
而随着电子系统能够在汽车产品中的广泛应用,大大保证了控制系统的自动化,而且汽车造型日趋流线型,汽车尾灯对于汽车整体造型的
2021-06-10 14:36:33 287KB LabVIEW
1
含有protues图和程序(全注释,连变量都注释)1、汽车车尾左右两侧各有四盏灯:黄灯、红灯、雾灯、倒车照明灯,前面有照明灯(远光、近光)、黄灯、雾灯 2、白天正常行驶时照明灯都不亮,夜间行驶时两个前照明灯都亮。 3、左转弯时左侧黄灯闪亮(2Hz),右转弯时右侧黄灯闪亮。 4、汽车刹车时,两个红灯都亮。 5、能见度低或者夜间行驶时雾灯点亮。 6、倒车时红灯、倒车照明灯亮。
1
基于VHDL语言的汽车尾灯控制器设计用MAX+PLUAII进行仿真
2021-04-13 12:04:22 217KB 汽车尾灯
1
车尾灯控制电路是生活中常见的电路,在日常生活中有着广泛的应用。本设计首先利用NE555定时器接成多谐振荡电路,实现产生0.5s-1HZ脉冲信号。然后利用74LS74D触发器、74LS32或门和74LS04非门构成三进制计数器,由NE555定时器产生的脉冲信号作为D触发器的时钟信号,实现三进制计数器功能,接下来通过74LS138译码器与开关控制电路(四个开关与相应的与门、非门和与非门),实现汽车尾灯与汽车行驶状态的对应。经测试,系统达到实验设计的要求,具有电路稳定、不易受外界干扰、耗费器材少、功能全面、容易实现四种不同的状态的优点。
2020-01-03 11:41:52 3.14MB 数字电路
1
汽车尾灯控制文档。对尾灯的控制和操作,原理图,仿真图都有。
2019-12-21 21:27:10 2.75MB 汽车
1
压缩包含有设计的电路和设计报告; 本设计借助于Multisim软件在计算机上仿真制作了汽车尾灯控制器电路,目的是为了在汽车正向行驶、右转弯、左转弯、临时刹车时,实现四种不同模式下的汽车尾灯状态显示。 本设计由模式控制电路、三进制计数器、译码与显示驱动电路、尾灯状态显示电路四部分组成,每个模块完成不同的功能,各个模块共同作用,组合形成本设计汽车尾灯控制器电路,实现四种不同状态显示。 最后,在Multisim软件中通过电路仿真,检验该设计的具体功能与要求是否一致,实现汽车尾灯控制的相关功能。
2019-12-21 19:36:28 2MB 课程设计
1
(1)汽车尾部左右两侧各有多盏指示灯。 (2)汽车正常行驶时指示灯都不亮。 (3)汽车右转弯时,右侧的一盏指示灯亮。 (4)汽车左转弯时,左侧的一盏指示灯亮。 (5)汽车刹车时,左右两侧的一盏指示灯同时亮。 (6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用
2019-12-21 19:22:35 272KB 汽车尾灯控制器 vhdl 课程设计
1