基于STC89S52单片机的温度控制系统,可以通过按键设置最大最小温度值,DS18B20温度传感器采集回来温度通过1602液晶显示屏显示。内含电路图和程序源码
2019-12-21 20:55:08 4.09MB DS18B2 温度控制 1602 51单片机
1
DS18B20温度传感器模块使用资料包,包括并不限于: 1、DS18B20温度传感器模块原理图; 2、DS18B20温度传感器模块相关资料; 3、DS18B20温度传感器模块测试程序(51版本和STM32版本)。
2019-12-21 20:49:29 3.07MB DS18B2
1
DS18B20温度传感器在protues仿真软件下的电路仿真测试
2019-12-21 20:48:06 183KB DS18B20 Protues仿真
1
基于FPGA-VERILOG语言的DS18B20温度检测,电脑串口可控制:开关数码管、开关温度转换、设置温度报警范围、开关温度报警、上传当前测量的温度值(转换前与转换后),另外不用串口控制也可以用六位数码管直接显示当前温度值
2019-12-21 20:39:18 16.05MB FPGA Verilog DS18B20 uart
1
将ds18b20采集到的温度通过OLED显示全部源码开发环境51单片机c语言
2019-12-21 20:37:28 61KB OLED ds18b20 温度采集显示 51单片机
1
ds18b20 单总线温度传感器工作原理介绍,应用程序及电路原理图
2019-12-21 20:34:18 69KB ds18b20
1
51单片机Protues,仿真DS18b20温度传感器,AD0809读取电压,在LCD1602显示温度和对应的电压。程序+仿真
2019-12-21 20:34:14 101KB 51单片机 DS18b20 AD0809 LCD1602
1
DS18B20是单总线数字传感器,共有6种信号类型:复位脉冲、应答脉冲、写0、写1、读0和读1。所有这些信号,除了应答脉冲以外,都由主机发出同步信号。并且发送所有的命令和数据都是字节的低位在前。 这几个信号的时序如下: 1)复位脉冲和应答脉冲 单总线上的所有通信都是以初始化序列开始。主机输出低电平,保持低电平时间至少480us,以产生复位脉冲。接着主机释放总线,4.7K的上拉电阻将单总线拉高,延时15~60us,并进入接收模式(Rx)。接着DS18B20拉低总线60~240us,以产生低电平应答脉冲,若为低电平,再延时480us。 2)写时序 写时序包括写0时序和写1时序。所有写时序至少需要60us,且在2次独立的写时序之间至少需要1us的恢复时间,两种写时序均起始于主机拉低总线。写1时序:主机输出低电平,延时2us,然后释放总线,延时60us。写0时序:主机输出低电平,延时60us,然后释放总线,延时2us。 3)读时序 单总线器件仅在主机发出读时序时,才向主机传输数据,所以,在主机发出读数据命令后,必须马上产生读时序,以便从机能够传输数据。所有读时序至少需要60us,且在2次独立的读时序之间至少需要1us的恢复时间。每个读时序都由主机发起,至少拉低总线1us。主机在读时序期间必须释放总线,并且在时序起始后的15us之内采样总线状态。典型的读时序过程为:主机输出低电平延时2us,然后主机转入输入模式延时12us,然后读取单总线当前的电平,然后延时50us。 DS18B20的温度读取过程一般为:复位->发SKIPROM命令(0XCC)->发开始转换命令(0X44)->延时->复位->发送SKIPROM命令(0XCC)->发读存储器命令(0XBE)->连续读出两个字节数据(即温度)->结束。
2019-12-21 20:34:08 5.92MB DS18B20
1
通过STM8S003最小系统板,读取DS18B20温度传感器的值。
2019-12-21 20:33:16 45KB STM8 003 DS18B20
1
stm8s103单片机+ds18b20温度传感器,IAR集成开发环境,函数库源代码,内含OLED显示驱动,DS18B20驱动,实际工程,绝对能够运行。
2019-12-21 20:26:35 1.19MB stm8s ds18b20 IAR 库函数
1