利用八个方向的sobel边缘检测,其中为防止灰度值溢出对灰度值进行一个扩大和缩放的过程,可以检测出八个方向的灰度梯度值,检测出的边缘更加平缓连续,有兴趣的可以了解一下
2019-12-21 21:43:45 55KB 边缘检测 sobel
1
图像边缘检测的DSP实现,有源代码,有word文档说明。使用MATLAB读取图像,输出.h文件,DSP读入图像文件。包含lenna.h,sobel_edge_detect.c等。
2019-12-21 21:22:03 1.09MB dsp sobel 图像边缘 边缘检测
1
sobel的verilog实现,已经在Xilinx和Altera FPGA上验证过,可用。。。 程序优化过,可以跑比较高的频率。 程序里有注释。
2019-12-21 21:08:04 9KB sobel verilog
1
基于FPGA的sobel边缘检测的完整程序,没有使用IP核,使用xilinx的FPGA
2019-12-21 21:06:17 30KB sobel FPGA
1
为利用matlab进行图像处理实验的小部分代码,这部分代码非常简单,仅需直接调用内置函数即可完成,所以做成简单整理算是分享啦
2019-12-21 20:55:01 614B matlab image_ edge_d
1
这是华中科技大学数字图像处理课程的作业,涉及图像边缘检测、手动实现sobel算子和prewitt算子,梯度图像的生成,街区距离的计算
2019-12-21 20:42:30 224KB Matlab sobel 数字图像处理 边缘检测
1
OV7670摄像头模块负责图像的实时釆集工作。OV7670内部相关寄存器的配置信息被写入到控制模块,在程序运行时通过I²C总线与OV7670的I²C接口进行信息交互,将OV7670初始化为VGA分辨率、输出RGB565格式数据的模式。釆集到的图像信息经过写FIFO后,在釆用Verilog HDL设计的SDRAM控制器的控制下,被送至SDRAM进行存储。图像数据经过图像处理模块(Sobel)被发送到VGA控制器,经VGA接口电路,显示在VGA显器上。
2019-12-21 20:39:48 8.17MB FPGA Sobel Verilog
1
matlab环境下改进sobel算子,在原有两个模板的基础上又增加了两个方向模板
2019-12-21 20:31:44 673B 改进sobel
1
图像边缘检测matlab源码kirsch、Laplacian、prewitt、roberts、Sobel算子(亲测可用已经调试注释完整)
2019-12-21 20:29:18 4KB matlab 图像边缘检测 Sobel Laplac
1
在学图像处理时,自己用matlab编的sobel算子. 注意只适合初学者噢!
2019-12-21 20:17:24 843B sobel 边缘检测 matlab 图像处理
1