第一章 引言----------------------------------------------------------------1 1.1 课题的背景、目的------------------------------------------1 1.2 课程设计的内容------------------------------------------1 第二章 EDA与VHDL简介--------------------------------------------------2 2.1 EDA的介绍---------------------------------------------2 2.2 VHDL的介绍--------------------------------------------3 2.2.1 VHDL的用途与优点-----------------------------------------------------------------3 2.2.2 VHDL的主要特点---------------------------------------------------------------------- 2.2.3 用VHDL语言开发的流程------------------------------------------------------------ 第三章 数字电子钟的设计方案------------------------------------------6 3.1秒脉冲发生器--------------------------------------------7 3.2可调时钟模块--------------------------------------------8 3.3校正电路------------------------------------------------8 3.4闹铃功能------------------------------------------------10 3.5日历系统------------------------------------------------11 第四章 结束语---------------------------------------------------------------13 4.1致谢----------------------------------------------------14 4.2参考文献------------------------------------------------15
2021-12-30 10:24:44 567KB VHDL 组成原理 数字电子钟
1
EDA电子钟设计,包括代码,设计程序等部分
2021-12-30 08:45:34 137KB EDA
1
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.
2021-12-26 18:41:03 2.46MB 数字电子钟
1
数字式电子钟设计原理,很经典 能实现时、分、秒计数器和校正功能
2021-12-24 18:37:00 1.86MB 数字电子钟设计方案
1
毕业设计的开题报告 1.选题目的和意义 2.主要研究内容(含论文提纲) 3.完成论文的条件 4.论文的进程安排 5.指导教师意见及建议
2021-12-24 13:44:48 45KB 单片机 数字电子钟
1
1、液晶屏上显示时钟,时钟上可以显示年月日、时分秒。时间要求通过 DS1302 时 钟芯片实现。 2、在主界面可以通过按键进入设置界面,在设置界面要求可以设置时间的初值以 及重要日期提醒设置。如生日、会议、考试等重要日期可以设置。 3、提醒日期到时间后通过蜂鸣器提醒,同时在液晶屏上通过文字提醒。
2021-12-23 21:49:15 51KB  LCD12864  DS1302 
1
本次设计以AT89S51芯片为核心,辅以必要的外围电路,设计了一个简易的电子时钟,它由5V直流电源供电。在硬件方面,除了CPU外,使用四个七段LED数码管来进行显示,LED采用的是动态扫描显示,使用74LS14芯片进行驱动。通过LED能够比较准确显示时、分。两个简单的按键实现对时间的调整。软件方面采用汇编语言编程。整个电子钟系统能完
2021-12-22 17:14:30 1.24MB 数字电子钟
1
数电课程设计VHDL多功能电子钟报告以及源代码
2021-12-22 13:27:17 1.34MB 数电课程设计 VHDL 多功能 电子钟
1
Proteus,keilC51联调仿真的电子钟程序,包括电路图,汇编程序。功能有电子钟,日历,闹钟
2021-12-21 21:08:36 12.6MB 电子钟,日历,闹钟
1
基于51单片机12864液晶显示的指针式模拟电子钟proteus仿真,项目可移植性强,适合学习研究和直接移植应用
2021-12-21 08:39:07 104KB 51单片机 12864 proteu 指针式电子钟
1