论文,个人制作,利用单片机,或者JK触发器制作简易的电子密码锁。
2021-12-12 22:32:08 2.35MB 单片机电子密码锁论文
1
基于51单片机的电子密码锁.,包含说明书,布线,源码,烧录文件
2021-12-09 10:37:51 7.23MB 电子密码锁.
1
EDA技术是电子设计技术的核心,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输人,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。
2021-12-07 23:43:31 618KB 密码锁
1
引言   随着社会物质财富的日益增长,安全防盗已成为全社会关注的问题。EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。   EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个
1
该设计基于STC单片机设计,电路主要由51单片机最小系统和按键部分、蜂鸣器报警部分、LCD液晶显示部分等组成。该电路设计简单,单层板加上几根跳线即可完成,适合电子初学者DIY制作。 电子密码锁具体功能介绍: 1、该电子密码锁默认密码为1234567890,密码通过键盘输入,若密码正确,则将锁打开。 2、密码可以由用户自己修改设定(只支持6位密码),锁打开后才能修改密码。修改密码之前必须再次输入密码,在输入新密码时候需要二次确认,以防止误操作。 3、报警、锁定键盘功能。密码输入错误显示器会出现错误提示,若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。 4、AT24C02保存密码,支持复位保存,掉电保存功能。 附件内容包括: 整个电子密码锁原理图和PCB源文件,用AD软件打开; BOM表; 源代码(有详细的中文注释);
1
摘要:为了提高个人资料、部门文件档案的保密性和安全性,采用高速、低功耗且具备ISP、IAP、内部 PR0M 功能的 STC89C52单片机,设计了保密性更高的电子密码锁,并详细介绍STC89C52单片机内部E。PROM 有关的特殊功能寄存器、 读写子程序。该电子密码锁的上锁、开锁、修改密码都在片内进行,不涉及外围芯片,减小了PCB面积,降低故障率和成本, 提高了可靠性、保密性,值得推广。 关键词:电子密码锁;STC89C52;E PROM;保密性
2021-12-07 15:36:41 184KB 电子密码锁
1
利用EDA技术,在可编程逻辑器件CPLD上实现了一种多功能电子密码锁。为弥补传统密码锁的不足,进一步提高可靠性,该系统中所有数据的存储、运算都完全由硬件实现。利用VHDL语言对电路进行行为描述,QuartusⅡ软件中的EDA工具进行仿真及下载。整个设计过程采用自顶向下方案,设计效率高,开发成本低。采用了MAXⅡ系列的CPLD作为硬件核心,其功耗低,逻辑执行速度远高于单片机。
2021-12-06 09:30:07 248KB 电子密码锁 VHDL 硬件设计 文章
1
绍了一种基于可编程逻辑器件FPGA的电子密码锁的设计方法,具有性能可靠、使用方便以及良好的灵活性和可扩展性等优点。重点阐述了红外遥控解码、密码锁的解锁、密码修改等功能的实现,给出了数字密码锁的电路原理图及各模块的波形仿真结果。
2021-12-05 02:08:17 284KB 红外遥控 电子密码锁 FPGA 文章
1
基于FPGA的四位电子密码锁设计与实现
2021-12-04 21:59:02 955KB 基于 fpga 四位 电子密码锁设计
1
单片机 电子密码锁 外加硬件搭建电路 使用费proteus软件仿真调试正常
2021-12-04 21:04:21 104KB 单片机
1