一个可以播放音乐的网站
2021-05-19 09:04:25 46.29MB jsp sqlserver eclipse
1
这个demo还有很多需要继续开发和优化的地方,开发者:HustWolfzzb 利用寒假时间开发的。HustWolfzzb在我们的交流群里@柊,大家可以跟他直接交流。 开发历程也推荐给大家,其中会有一些绕坑指南,新手可以仔细的阅读一下。 【一】微信小程序(全部代码)开发---跑步App+音乐播放器 http://weixin.huosu.com/forum.ph ... &extra=page=1 【二:BUG修改】微信小程序(全部代码)开发---跑步App+音. http://weixin.huosu.com/forum.ph ... &extra=page=1 【三:图片选取以及拍照
2021-05-14 17:37:18 1.55MB 微信 程序 demo 跑步 App+ 音乐 播放 弹幕
1
是通过js完成的,可以跟着音乐播放歌词变色,
2021-04-29 01:44:42 4.39MB 音乐播放器 HTML5
1
Protues仿真实例-51单片机-播放音乐.rar
2021-04-29 01:30:39 263KB Protues仿真实例 51单片机 播放音乐
Protues仿真实例-51单片机-播放音乐.rar
2021-04-29 01:30:30 51KB Protues仿真实例 51单片机 播放音乐
安卓播放本地音乐,两种方式:1)播放列表,加载本地所有音乐文件,双击播放 2)放到raw文件夹下,点击播放需要的文件。 开发版本:androidstudio 3.5.3
2021-04-21 09:29:51 12.29MB 安卓 源代码 播放音乐 本地音乐
1
资源是51单片机使用蜂鸣器来播放音乐的实验例程,通过PWM信号来控制蜂鸣器,改变PWM的频率,可以改变蜂鸣器的发声音调,从而可以播放音乐。
2021-04-19 14:05:15 11KB 51单片机 STC89C516 蜂鸣器 PWM
1
AndroidStudio实现(service)后台播放音乐(带有进度条) 思路:通过SevericeConnect来实现对audio.java 的mediaplay的调用再在Binder里建立player.的各类方法使得可以在Mainactivity里使用。 首先在res目录下新建一个raw包用来装音乐文件 原创文章 1获赞 1访问量 22 关注
2021-04-19 10:22:20 40KB c ce ice
1
我的项目Matlab程序,是播放音乐文件的波形和频谱的实时显示!实时啊! 我的项目Matlab程序,是播放音乐文件的波形和频谱的实时显示!实时啊!
2021-04-15 09:03:39 1.88MB Matlab 波形 音乐 频谱
1
FPGA设计控制蜂鸣器播放音乐实验的Verilog逻辑源码Quartus工程文件+文档说明,根据简谱不同简谱名频率让蜂鸣器发出不一样的响声,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。 module music_top ( input clk, input rst_n, input key1, output reg buzzer ) ; parameter CLK_FRE = 50 ; parameter music_len = 32'd78 ; wire [19:0] cycle ; reg [31:0] play_cnt ; reg [31:0] music_cnt ; reg [19:0] hz_cnt ; wire [4:0] hz_sel ; wire [7:0] rom_hz_data ; wire [7:0] rom_time_data ; reg [31:0] music_time ; wire button_negedge ; parameter IDLE = 2'd0 ; parameter PLAY = 2'd1 ; parameter PLAY_WAIT = 2'd2 ; parameter PLAY_END = 2'd3 ; reg [1:0] state ; reg [1:0] next_state ; always @(posedge clk or negedge rst_n) begin if (~rst_n) state <= IDLE ; else state <= next_state ; end always @(*) begin case(state) IDLE : begin if (button_negedge) next_state <= PLAY ; else next_state <= IDLE ; end PLAY : begin if (play_cnt == music_time) next_state <= PLAY_WAIT ; else next_state <= PLAY ; end PLAY_WAIT : begin if (music_cnt == music_len - 1) next_state <= PLAY_END ; else next_state <= PLAY ; end PLAY_END : next_state <= IDLE ; default : next_state <= IDLE ; endcase end ax_debounce ax_debounce_a0 ( .clk (clk), .rst (~rst_n), .button_in (key1), .button_posedge (), .button_negedge (button_negedge), .button_out () ); //play counter always @(posedge clk or negedge rst_n) begin if (~rst_n) music_time <= 32'hffff_ffff ; else music_time <= rom_time_data*(CLK_FRE*1000000/8) ; end //counter in every step, maximum value is cycle always @(posedge clk or negedge rst_n) begin if (~rst_n) hz_cnt <= 20'd0 ; else if (state == PLAY || state == PLAY_WAIT) begin if (hz_cnt == cycle - 1) hz_cnt <= 20'd0 ; else hz_cnt <=