如何利用Verilog实现Huffman编码
2019-12-21 19:57:02 41KB verilog huffman
1
自己实现的Huffman编码,压缩率接近50%,使用字节流写入文件。解码时读取字节流,将字节流转化为二进制串,匹配字符解压。使用I have a dream作为测试文件。
2019-12-21 19:51:31 16KB Huffman Huffman编码 java
1
huffman编码实现的压缩软件:把文件A编码成文件B,再把文件B翻译成文件C,比较A与C
2019-12-21 19:47:19 16KB 压缩软件
1
基于huffman编码的文件解压缩程序 采用c语言编写,支持文件的解压缩功能 供学习交流,大型文件请不要尝试,可能会很慢
2019-12-21 19:42:07 12KB huffman 压缩 c语言
1
使用Modelsim通过Verilog语言实现Huffman编码器、解码器,并在一个总的testbench中对其进行测试与联调
2019-12-21 19:40:32 141KB Huffman Verilog
1
基于Huffman编码树原理实现的压缩和解压缩小程序,编码单位为一字节。
2019-12-21 19:40:19 1.92MB C++ 哈夫曼 压缩 解压缩
1
字符文件统计字符出现频度,构造Huffman 树,编制Huffman编码,并将给定字符文件编码,生成编码文件;再将给定编码文件解码,生成字符文件
2019-12-21 18:58:40 196KB 字符出现频度 Huffman
1
Huffman编码与解码 (选做)(Huffman编码、二叉树) [问题描述]    对一篇英文文章,统计各字符出现的次数,实现Huffman编码,以及对编码结果的解码。 [基本要求] (1) 输出每个字符出现的次数和编码,其中求最小权值要求用堆实现。 (2) 在Huffman编码后,要将编码表和英文文章编码结果保存到文件中,编码结果必须是二进制形式,即0 1的信息用比特位表示,不能用字符’0’和’1’表示。 (3) 提供读编码文件生成原文件的功能。
2013-06-12 00:00:00 2.87MB huffman 数据结构
1