函数 K=Fast_Matrix_Assembly(元素) 输入: --------- Elements:一个结构包含 Elements{i}.K 和 Elements{i}.DOFs(第 i 个元素的刚度和自由度)。 输出: --------- K:稀疏全局刚度矩阵 参考----------------- Cuvelier,François,Caroline Japhet和Gilles Scarella。 “在 Matlab 和 Octave 中执行有限元矩阵组装的有效方法。” arXiv 预印本 arXiv:1305.3122 (2013)。
2021-07-06 16:53:40 1KB matlab
1
对SAR数据的S2矩阵进行Pauli分解的matlab代码,并提供了Pauli分解的RGB合成图以供预览。
2021-07-06 14:31:42 1KB Pauli分解 S2矩阵 matlab SAR
1
使用matlab编写的求解病态问题的工具
2021-07-02 16:04:57 845KB 病态矩阵 matlab
1
只需要给出稀疏矩阵的行列数以及稀疏矩阵中非零元素的个数即可生成一个随机的稀疏矩阵!
2021-06-25 14:23:42 225B 稀疏矩阵 Matlab
1
- 这个简单的函数接受 2 个由 1 和 0 填充的大小相等的矩阵,并返回真阳性、假阳性、真阴性、假阴性的数量,以便计算精度和召回率- 第一个矩阵是真正的矩阵- 第二个矩阵是从使用的算法填充的- 基于二进制分类返回错误度量
2021-06-23 20:01:44 2KB matlab
1
图像矩阵matlab代码复值卷积神经网络及其在PoSAR图像分类中的应用 要求: Matlab的 实验步骤: 测试演示在带有L波段的AIRSAR传感器获取的Flevoland数据集上。 运行./Test Demo / TestDemo.m以评估CV-CNN在PolSAR图像分类中的性能 CV-CNN文件夹存储CV-CNN的实现过程。 参考:此CV-CNN代码是基于DeepLearnToolbox(这是一个真正的CNN开源工具箱)创建的。 数据集:可以在网站“”上下载我们的论文“复杂值卷积神经网络及其在PoSAR图像分类中的应用”中的数据集。 同时,相应的地面实况已上传到该项目中。 备注:Flevoland-1989包含14个类的基本事实是Label_Flevoland_14cls.mat Flevoland-1991包含15个类的基本事实是Label_Flevoland_15cls.mat 德国Oberpfaffenhofen的地面真实情况是Label_Germany.mat 更新数据:已在下面的百度云链接中上传了三个数据集的输入T矩阵。 可以以一定的采样率获得采样数据,并将其分为训练
2021-06-21 23:00:30 111.95MB 系统开源
1
Phi = keplerSTM(x0,dt,mu) 将返回状态转移矩阵 Phi 对于由初始位置和速度 (x0) 描述的一组对象具有引力参数 mu,沿开普勒轨道传播时间 dt。 时间 dt 的位置和速度由 Phi*x0 给出。 x0 必须是长度为 6n 的行向量,其中 n 是行星的数量。 对于每个行星,x0 必须包括 3 个位置和 3 个速度值顺序为 [r1,r2,r3,v1,v2,v3]。 这些位置和速度是在笛卡尔坐标系中以中心物体测量(即明星)在原点。 对于多个行星,只需堆叠这些向量中的几个相互叠加。 mu 必须包含 n 值等于 G(m+ms) 其中 G 是重力常数,m 是轨道物体的质量,ms 是中心物体的质量。 dt 是传播时间的标量值。 注:所有单位应一致。 如果位置在 AU 和速度以 AU/天为单位,那么 dt 必须以天为单位,而 mu 必须以AU^3/天^2。 这是使用 S
2021-06-19 01:40:01 2KB matlab
1
是的,给出状态转换矩阵。
2021-06-06 13:59:57 2KB matlab
1
AWGN 信道下的 LDPC 编码 BER 模拟。 基于 MacKay-Neal 的 LDPC 矩阵。 消息编码使用稀疏 LU 分解。 解码器有 4 种选择:硬判决/位翻转解码器、概率域 SPA 解码器、对数域 SPA 解码器和简化对数域 SPA 解码器。 可以在以下位置找到文档和更新: http : //sites.google.com/site/bsnugroho/ldpc
2021-06-01 16:03:04 7KB matlab
1
高斯矩阵matlab代码 Polar-Codes 极化码是新近发现的理论上能达到香农限的唯一一种信道编码 0. 编码器采用蝶形图而非矩阵乘方法,相应模块代码在Polar_encoder.h/Polar_encoder.cpp; 常用的译码算法有SC, SCL, CA-SCL,aCA-SCL译码算法,相应模块代码在Polar_decoder.h/Polar_decoder.cpp; 常用的构造码字的方法有蒙特卡洛仿真、高斯近似等方法,相应模块代码在Polar_construction.h/Polar_construction.cpp; 信道使用高斯信道,相应模块代码在Channel.h/Channel.cpp; 调制方式为BPSK调制,相应模块代码在Modulation.h/Modulation.cpp; 上层统计误码率、误比特率模块代码在ice_process.h/ice_process.cpp; (1) main_TestConstruction.cpp 测试几种不同的构造方法; (2) main_TestDecodeSpeed.cpp 测试译码速度; (3) main_TestPe
2021-05-24 17:54:26 25KB 系统开源
1