PAJ7620手势识别模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-PAJ7620手势识别模块原理图 2,程序源码 3,模块使用说明 4,PAJ7620参考资料 5,芯片数据手册 ATK-PAJ7620手势识别模块用户手册_V1.0.pdf
最新HC05蓝牙串口模块技术资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档), 1,ATK-HC05蓝牙串口模块原理图 2,程序源码 3,配套软件 4,HC05蓝牙模块指令集 ATK-HC05-V11用户手册_V1.03.pdf ATK-HC05蓝牙串口模块使用说明(NANO F1)_AN1802.pdf ATK-HC05蓝牙串口模块使用说明(战舰V2&Mini V3)_AN1408.pdf ATK-HC05蓝牙串口模块使用说明(战舰V3&精英板)_AN1501.pdf ATK-HC05蓝牙串口模块使用说明(探索者F4)_AN1408A.pdf ATK-HC05蓝牙串口模块使用说明(阿波罗F429)_AN1610.pdf ATK-HC05蓝牙串口模块使用说明(阿波罗F767)_AN1610A.pdf ATK-HC05蓝牙模块常见问题汇总_20140708.pdf (HAL库版本,适合NANO STM32F1开发板) 扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合MiniSTM32开发板)扩展实验11 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合NANO STM32F1开发板) 扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合战舰V3和精英STM32开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合探索者STM32F4开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合阿波罗STM32F429开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合MiniSTM32开发板)扩展实验11 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合战舰V3和精英STM32开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合探索者STM32F4开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合阿波罗STM32F429开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验1 ATK-HC05蓝牙串口模块实验.rar
7' TFTLCD电容触摸屏模块资料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-7' TFTLCD电容触摸屏模块原理图 2,程序源码 ATK-7' TFTLCD 模块使用说(mini V3)_AN1510C.pdf ATK-7' TFTLCD 模块使用说明(战舰V3&精英版)_AN1510A.pdf ATK-7' TFTLCD 模块使用说明(探索者开发板)_AN1510B.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F429)_AN1612.pdf ATK-7' TFTLCD 模块使用说明(阿波罗F767)_AN1612A.pdf ATK-7' TFTLCD 模块用户手册V2.0.pdf (寄存器版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (寄存器版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合MiniSTM32开发板)扩展实验13 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合战舰V3和精英STM32开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合探索者STM32F4开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F429开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试.rar (库函数版本,适合阿波罗STM32F767开发板)扩展实验3 ATK-7' TFTLCD电容触摸屏模块测试实验.rar
ATK-0.96' OLED屏模块料(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档) 1,ATK-0.96' OLED模块原理图 2,程序源码 3,模块芯片资料 ATK-0.96’ OLED模块用户手册_V1.0.pdf ATK-OLED液晶模块使用说明(NANO STM32F1)_AN1806.pdf (适合MiniSTM32开发板)实验10 OLED显示实验.rar (适合战舰V3和精英STM32F1开发板)实验12 OLED显示实验.rar (适合探索者STM32F4开发板)实验12 OLED显示实验.rar (适合阿波罗STM32F429开发板)实验11 OLED实验.rar (适合阿波罗STM32F767开发板)实验11 OLED实验.rar (寄存器版本,适合NANO STM32F1开发板) 扩展实验5 ATK-OLED液晶模块实验.rar (HAL库版本,适合NANO STM32F1开发板) 扩展实验5 ATK-OLED液晶模块实验.rar
4.3' TFTLCD电容触摸屏模块(硬件参考设计原理图+AD封装库+软件源码工程+应用技术文档), 1,ATK-4.3' TFTLCD电容触摸屏模块原理图 2,程序源码 3,其他资料 ATK-4.3' TFTLCD 模块使用说明(战舰V2&Mini V3)_AN1413.pdf ATK-4.3' TFTLCD 模块用户手册_V1.2.pdf
海思3556v200硬件参考设计--内含原理图和pcb设计,ORCAD allegro pdf版本,官方设计版
2021-03-18 17:12:01 2.43MB 海思
1
语音处理芯片对讲消侧音消回声芯片FM1288 硬件参考设计Cadence orcad原理图FM1288完整资料包,datasheet,调试软件,SAMTunerV2,FM1288 Configuration Manual.pdf,FM1288_Config_GUI_Tool_V04.pdf
蓝牙音频处理 芯片FM1288硬件参考设计Cadence orcad原理图+PDF原理图+技术手册,可以做为你音频处理的硬件设计参考。
语音处理芯片对讲消侧音消回声芯片FM1188 硬件参考设计Cadence orcad原理图文件,可以作为你的设计参考。
1
LPC1857开发板资料 arm Cortex M3内核NXP LPC1857芯片,包括硬件参考设计及KEIL软件例程文件