任意数分频程序,包括小数分频,任意占空比奇数,偶数分频
1
用VHDL写的任意数奇偶分频,下载到实验板上用过,完全好使
2021-11-14 14:38:04 885B VHDL 任意 分频 奇偶
1
VHDL编写的任意分频器,通过修改参数即可实现任意的分频 占空比为50%,读者可以调整代码修改所需的占空比。
2021-11-10 15:12:32 1KB VHDL 分频
1