近期的项 目要使用到整型数据转浮点型数据,将16位的整数转换为单精度浮点数(32bit)。Quartus II软件中也给我们免费提供了专用的浮点转换IP,因此就直接使用该IP核来进行设计。
2022-03-09 11:18:53 62KB 软件开发 FPGA altera浮点IP核 仿真
1