FPGA,用VERILOG写的PWM控制呼吸灯
2022-05-16 17:31:22 366KB VERILOG,PWM
1
Verilog编写,用PWM控制8个LED的亮度,代码清晰易懂,在开发板上测试成功。
2021-06-16 21:45:57 3KB fpga verilog pwm led
1
1. 支持APB总线接口 2. 支持PWM单次模式和连续模式可配 1 3. 支持PWM周期配置立刻生效和延迟生效可配 1 4. 支持PWM周期可配置 16 5. 支持PWM宽度可配 16 6. 支持PWM使能可配 1 7. 支持16位计数器 8. 支持16位预分频计数器 16 9. 支持中断 1
2021-05-12 10:12:31 2KB verilog_pwm
1
利用verilog实现数字PWM波形发生器设计,并进行了按键消抖设置,内附程序代码和测试代码,可直接运行
2021-04-22 20:45:09 28KB verilog PWM波形发生器
1
本文的程序采用Verilog HDL硬件描述语言,Verilog HDL硬件描述语言时目前应用最广泛的硬件描述语言,Verilog HDL可以用来进行各种层次的逻辑设计,也可以进行数字系统的逻辑综合,仿真验证和时序分析等。Verilog HDL适合算法级,寄存器级,逻辑级,门级和版图级等各个层次的设计和描述。本文就是基于FPGA,产生PWM波形,通过Verilog HDL语言编程实现电机的运转控制的。
2021-04-20 20:25:48 242KB verilog pwm
1
Verilog编写呼吸灯,利用PWM波实现功能,轻松掌握PWM波使用方法,有仿真程序,每条关键语句都带有注解,方便新手学习,读完整个程序,可以立马编写PWM波工程,可用在电源制作上面
2019-12-21 19:36:44 33.83MB verilog PWM 呼吸灯 仿真
1