1.了解图像边缘检测的原理。自己实现边缘检测算法,对特定的几幅图像进行边缘检测,并达到较好的效果。 2.了解特征提取的原理,并对图像中存在的一些特征进行特征提取。
2023-05-14 22:27:46 1.1MB 边缘检测特征值的提取
1
这里使用 HDL 编码器实现了 sobel 边缘检测器。使用了 5x5 内核。
2023-04-15 22:51:26 29KB matlab
1
使用紫光平台,黑金板卡实现功能,绝对超值划得来,试验全部说明以及解释算法等基础实践部分,划得来啊啊啊啊啊啊啊!!!!
2022-11-15 18:50:31 974KB FPGA
1
利用sobel算子锐化边缘,增强对比度,matlab2012b编程通过,附带图片,便于移植和使用
自己编的数字图像处理程序,基于MFC单文档,可以实现BMP图片的显示,并且实现了图像的中值滤波、高斯滤波、sobel边缘检测(并阈值化处理显示)等功能。
1
用SOBEL算法实现了边缘检测,获得了很好的检测效果,同时用了CANNY算子做对比
2022-06-08 18:04:53 825B matlab
1
1.领域:FPGA,图像中值滤波,sobel边缘提取,腐蚀以及形态学扩展 2.内容:vivado2019.2平台用纯verilog开发的基于FPGA的图像处理,包括图像中值滤波,sobel边缘提取,腐蚀以及形态学扩展四个功能模块 3.用处:用于图像中值滤波,sobel边缘提取,腐蚀以及形态学扩展算法编程学习 4.指向人群:本科,硕士,博士等教研使用 5.运行注意事项: 使用vivado2019.2或者更高版本测试,用软件打开FPGA工程,然后参考提供的操作录像视频跟着操作。 工程路径必须是英文,不能中文。
针对目前数字图像处理速度慢的问题, 提出了一种基于 FPGA器件的 Sobel 边缘检测实现方案。Sobel 边缘检测分别在FPGA和MATLAB上仿真实现,仿真结果表明,该方案可以大幅提高Sobel 边缘检测的速度,并且获得了很好的边缘检测效果。最后列举了一个基于FPGA器件的Sobel边缘检测的应用实例。
2022-05-12 21:04:52 350KB FPGA
1
邻域平均模板平滑中值滤波,均值滤波,Laplacian边缘提取,Sobel边缘提取,Prewitt边缘提取图像去噪,matlab2021a测试。
2022-05-03 14:11:01 14KB 均值算法 文档资料 算法 图像去噪
VC++实现图像的微分微分运算、梯度锐化算子、Roberts边缘检测算子、Sobel边缘检测算子、Prewitt边缘检测算子、Krisch边缘检测、高斯-拉普拉斯算子
1