实验环境:win7+modelsim10.4d 实验用例:输出hello_uvm; Modelsim10.4d在安装时已配置好uvm的连接dll文件,因此不再需要生成(在文件夹C:\modeltech64_10.4\uvm-1.1d\win64下)
2022-01-27 23:18:33 90KB Modelsim/UVM
1
包括sim.do已经bat和全套代码
2021-02-20 11:03:17 8KB uvm
1