Quartus Ⅱ 用户界面 基于QuartusⅡ的FPGA工程概述 综合
2023-02-16 20:43:45 1.63MB FPGA设计
1
1.设计定义 设计定义阶段主要进行方案验证、系统设计和FPGA芯片选型等准备工作。根据任务要求,评估系统的指标和复杂度,对工作速度和芯片本身的资源、成本等方面进行权衡,选择合理的设计方案和合适的器件类型。 这个阶段往往会花费大量的时间,这个阶段之后一般已经完成了系统建模,功能划分,模块划分以及设计文档的撰写等工作。 2.代码实现 代码实现阶段是将划分好的各功能模块用硬件描述语言表达出来,常用的硬件描述语言有Verilog HDL和VHDL。以后的教程中我们主要讲解如何使用Verilog HDL进行FPGA设计。
2022-04-20 02:35:29 1.75MB FPGA设计基本流程
1