根据全国大学生电子设计竞赛题目《简易数字频率计》的要求设计,测量范围0-1Mhz ,测量精度满足题目中要求, 所用开发板为 xilinx spartan 3ES。具体设计过程可以参考博客 。http://blog.csdn.net/li200503028
2022-07-12 16:13:16 1.31MB 频率计 FPGA verilog
1
2015全国大学生电子设计大赛F题一等奖--数字频率计-配套程序。 基于黑金最小系统板开发,Cyclone IV EP4C15F17C8N,程序下载烧录后,即可运行 配套报告:http://download.csdn.net/download/u012349847/9092539
1
十进制频率计FPGA程序,verilog代码,比赛时使用没有问题,通过测试
2022-04-19 23:23:45 2KB FPGA verilog 十进制频率计
1
基于FPGA的数字频率计设计,Xilinx ISE工程代码,南京大学数字系统实践课程内容
2022-03-15 14:55:04 1.68MB 频率计,FPGA
1
基于FPGA的VHDL数字频率计 测试范围1hz- 1M 经典的测量范围
2021-12-12 14:27:00 4.07MB VHDL 数字频率计 FPGA
1
基于CYCLONE2 FPGA设计的频率计+串口通信实验quartus9.0工程源码+文档说明资料, /******************************************************************************* ** 文件名称:uart.v ** 功能描述:串口通信__FPGA和上位机通信(波特率:9600bps,10个bit是1位起始位,8个数据位,1个结束) *******************************************************************************/ module uart( clk, rst, rxd, txd, start, data_cnt, count1, count2, count3, count4, count5, count6, count7, count8, send_finish ); input clk; //系统50MHZ时钟 input rst; //复位 input rxd; //串行数据接收端 output txd; //串行数据发送端 input start; //开始采集信号 input[3:0] data_cnt; //数据位标志 output send_finish; //发送完成标志 input [7:0] count1; input [7:0] count2; input [7:0] count3; input [7:0] count4; input [7:0] count5; input [7:0] count6; input [7:0] count7; input [7:0] count8; reg[15:0] div_reg; //分频计数器,分频值由波特率决定。分频后得到频率8倍波特率的时钟 reg[2:0] div8_tras_reg; //该寄存器的计数值对应发送时当前位于的时隙数 reg[3:0] state_tras; //发送状态寄存器 reg clkbaud_tras; //以波特率为频率的发送使能信号 reg clkbaud8x; //以8倍波特率为频率的时钟,它的作用是将发送或接受一个bit的时钟周期分为8个时隙 reg trasstart; //开始发送标志 reg send_finish; reg txd_reg; //发送寄存器 reg[7:0] rxd_buf; //接受数据缓存 reg[7:0] txd_buf; //发送数据缓存 reg[3:0] send_state; //发送状态寄存器 parameter div_par=16'h145; //分频参数,其值由对应的波特率计算而得,按此参数分频的时钟频率是波倍特率的8 //倍,此处值对应9600的波特率,即分频出的时钟频率是9600*8 (CLK50M) assign txd = txd_reg; // assign send_state=data_cnt; /*******分频得到8倍波特率的时钟*********/ always@(posedge clk ) begin if(!rst) div_reg<=0; else begin if(div_reg==div_par-1'b1) div_reg<=0; else div_reg<=div_reg+1'b1; end end always@(posedge clk) begin if(!rst) clkbaud8x<=0; else if(div_reg==div_par-1'b1) clkbaud8x<=~clkbaud8x;//分频得到8倍波特率的时钟:clkbaud8x end // *******************************/ always@(posedge clkbaud8x or negedge rst)//clkbaud8x
说明1:代码风格参考锆石科技的FPGA教程,这里推荐,因为移植性,可读性还有风格等都很不错,写起工程来很方便 说明2:这是2015年电子设计竞赛的频率计的FPGA工程的一部分,里面包含了测频,串口发送,AD转换这几个核心功能,没有幅值检测功能,本工程验证大致正确
2021-08-10 14:03:45 17.68MB 等精 测频 频率
1
基于fpga的等进度频率计 采用VHD了编程 等精度方法
2021-07-13 11:26:09 157KB 频率计 fpga
1
本资源承接 15国赛频率计32 部分
2021-07-07 10:54:48 30.12MB fpga 国一 15富国赛
1
EDA课程设计-频率计(FPGA代码,quartus软件代码,频率计的设计)
2021-05-18 19:34:33 14.89MB FPGA代码 quartus软件代码 频率计设计
1