电子琴VHDL设计方案.rar
2021-12-24 15:03:55 1.07MB 设计 方案
用VHDL开发的电子琴程序,可以弹出1234567的高中低音,用Quartus II编译
2021-11-23 11:40:25 500KB 电子琴,VHDL
1
EDA电子琴,VHDL语言,八个音符EDA电子琴,VHDL语言,八个音符
2020-01-08 03:07:42 8.25MB EDA电子琴
1
1、设计一个简易的八音符电子琴,可通过按键输入来控制音响。 2、演奏时可以选择是手演奏(键盘输入)或自动演奏已存入的乐曲。 3、能够自动演奏多首乐曲,且每首乐曲可重复演奏。
2020-01-03 11:41:45 5KB 电子琴
1
电子琴VHDL设计方案电子琴VHDL设计方案电子琴VHDL设计方案电子琴VHDL设计方案
2019-12-21 22:14:46 1.06MB 电子琴
1
VHDL,可实现手动弹奏和自动播放两个功能,自动播放是梁祝化蝶部分,在弹奏和自动播放的同时也会显示乐谱简码,该电子琴支持14个音阶。
2019-12-21 20:20:25 1.12MB FPGA 电子琴 VHDL
1