$fscanf(fp_r,"%h",data_in[cnt]); 函数可以读取的文件有特定的格式要求;要求每两个要读取的数据间以空格、回车、注释 隔开(刚开始不知道弄了好久都不知道错误在哪,分享给大家)。 verilog在读取的时候没遇到以上符号就认为这是分隔符,所以当你源文件本身就有这些符号时就会跳过, 本程序帮你进行文件预处理
2022-02-11 22:04:46 14KB verilog modelsim 读取文件 文件预处理
1
Rinex文件预处理软件TEQC Rinex文件预处理软件TEQC
2021-12-30 12:33:16 406KB Rinex文件预处理软件TEQC
1