实验内容 1. 设计并实现一个随机数生成电路,每 2 秒 随机生成一个 0~999 之间的数 字,并在数码管上显示生成的随机数。 2. 为系统设置一个复位键,复位后数码管显示“000”,2 秒后再开始每 2 秒 生成并显示随机数,要求使用按键复位。 3. 实验板上输入时钟选择 1kHz 或更高的频率。
2022-04-29 01:13:16 1.4MB VHDL
1
北邮数电实验 6 随机数生成电路的设计与实现 报告(含VHDL代码)
2022-01-07 19:08:25 768KB vhdl
1
内含电路原理图,实验步骤,实验结果和实验分析
2021-12-22 14:55:14 152KB 数电实验六
1