8255扫描键盘C语言程序
2022-04-08 11:30:52 1KB 8255 C语言 键盘
1
基于51单片机的密码锁源程序,带有4X4扫描键盘输入控制,具有修改密码、输入回删功能。在输入密码正确的情况下才能修改密码。带LCD1602显示。
2021-10-05 17:02:49 11KB 51 密码锁 扫描键盘 LCD1602
1
KEY_4x4扫描键盘FPGAVerilog逻辑源码Quartus工程文件,FPGA为CYCLONE4系列中的EP4CE6E22C8. 完整的工程文件,可以做为你的学习设计参考。 module KEY_4x4 ( input sys_clk, //50MHZ input sys_rst_n , input [3:0] key_row , //�� //output wire [3:0] key_col , //�� output reg [3:0] key_col , //�� output wire [7:0] LED , //��ʾ��ֵ output reg [3:0] key_value //��ֵ ); reg [5:0] count;//delay_20ms reg [2:0] state; //״̬��־ reg key_flag; //������־λ reg clk_500khz; //500KHZʱ���ź� reg [3:0] key_col_reg; //�Ĵ�ɨ����ֵ reg [3:0] key_row_reg; //�Ĵ�ɨ����ֵ always @(posedge sys_clk or negedge sys_rst_n) begin if (!sys_rst_n) begin clk_500khz <= 0 ; count= 50 ) begin clk_500khz <= ~clk_500khz ; count<=0; end else count <= count + 1; end end always @(posedge clk_500khz or negedge sys_rst_n) if(!sys_rst_n) begin key_col<=4'b0000; state<=0; end else begin case (state) 0: begin key_col[3:0]<=4'b0000; key_flag<=1'b0; if(key_row[3:0]!=4'b1111) begin //�м����£�ɨ����һ�� state<=1; key_col[3:0]<=4'b1110; end else state<=0; end 1: begin if(key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵ�һ�� state<=5; else begin state<=2; key_col[3:0]<=4'b1101; //ɨ���ڶ��� end end 2: begin if(key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵڶ��� state<=5; else begin //ɨ�������� state<=3; key_col[3:0]<=4'b1011; end end 3: begin if(key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵ���һ�� state<=5; else begin state<=4; key_col[3:0]<=4'b0111; end //ɨ�������� end 4: begin if (key_row
扫描键盘
2021-07-14 10:00:17 1.68MB 扫描键盘
1
4X4动态扫描键盘原理图和PCB文件
4X4动态扫描键盘 PROTEL 99SE硬件原理图及PCB工程设计文件,2层板,Protel 99se 设计的DDB后缀项目工程文件,包括完整无措的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。
1
单片机扫描键盘按键板 Protel99se设计硬件原理图PCB文件,包括完整的原理图PCB文件,板子大小为175x80mm,2层板。可用Protel或 Altium Designer(AD)软件打开或修改,已经制板验证使用,可作为你产品设计的参考。
密码终端读卡器DEMO应用开发板protel99SE设计硬件原理图+PCB文件,采用2层板设计,板子大小为166x104mm,双面布局布线..主要器件为扫描键盘,蜂鸣器,NFC读卡芯片MFRC522,W25Q16,OLED12864屏等,Protel 99se 设计的DDB后缀项目工程文件,包括完整无误的原理图及PCB印制板图,可用Protel或 Altium Designer(AD)软件打开或修改,已经制板并在实际中使用,可作为你产品设计的参考。
4X4矩阵扫描键盘原理图PCB+C51测试源码例程,ad 设计的工程文件,包括原理图及PCB印制板图,可以用Altium Designer(AD)软件打开或修改,可作为你产品设计的参考。
应用8255芯片实现扫描键盘,显示的实验,配有电路图,软件设计流程图,已经软件编程,应用汇编语言
2020-01-03 11:15:51 368KB 8255 键盘 显示
1