verilog语言程序,用开关或按键进行定时设置,超过60s为无效设定; 倒计时计数状态用2位数码管显示; 计时结束时用1只彩灯作为提示。
2021-06-26 22:46:09 115KB 开关定时,倒计时
1