利用Labview将任意输入的三位数的百位和各位对调,并显示。如293,变为392
2022-03-17 23:24:25 7KB LABVIEW 三位数的个位与百位对调
1
基于verilog HDL编写的分别显示个位和十位的十二进制加法计数器,压缩包里有vwf时序波形和最简单的testbench测试代码
2021-10-21 20:10:02 7.71MB verilog 加法计数器
1
获取一个数字的个位、十位、百位的代码,需要的朋友可以参考下。
2021-10-13 22:39:00 14KB 个位 十位 百位
1
/*让我们用字母 B 来表示“百”、字母 S 表示“十”,用 12...n 来表示不为零的个位数字 n(<10),换个格式来输出任一个不超过 3 位的正整数。 例如 234 应该被输出为 BBSSS1234,因为它有 2 个“百”、3 个“十”、以及个位的 4。 输入格式: 每个测试输入包含 1 个测试用例,给出正整数 n(<1000)。 输出格式: 每个测试用例的输出占一行,用规定的格式输出 n。*/
2021-04-27 09:05:03 668B C语言
1