EDA技术:正弦信号发生器设计

上传者: zrg_hzr_1 | 上传时间: 2021-06-07 18:39:10 | 文件大小: 1.05MB | 文件类型: RAR
全部用Verilog表述,在QuartusII上完成简易正弦信号发生器设计,包括建立工程,生成正弦信号波形数据,ModelSim仿真。下载至FPGA中,通过SignalTapLogicAnalyzer观察波形。

文件下载

资源详情

[{"title":"( 15 个子文件 1.05MB ) EDA技术:正弦信号发生器设计","children":[{"title":"lab2shiyanbaogao_zyf","children":[{"title":"alt_sld_fab.v <span style='color:#111;'> 2.36KB </span>","children":null,"spread":false},{"title":"lab2.doc <span style='color:#111;'> 1.10MB </span>","children":null,"spread":false},{"title":"sin_gen.v <span style='color:#111;'> 427B </span>","children":null,"spread":false},{"title":"sin.hex <span style='color:#111;'> 1.89KB </span>","children":null,"spread":false},{"title":"boxing128.mif <span style='color:#111;'> 1.84KB </span>","children":null,"spread":false},{"title":"alt_sld_fab_alt_sld_fab.v <span style='color:#111;'> 20.72KB </span>","children":null,"spread":false},{"title":"lab2.qsf <span style='color:#111;'> 15.93KB </span>","children":null,"spread":false},{"title":"rom78_bb.v <span style='color:#111;'> 4.99KB </span>","children":null,"spread":false},{"title":"lab2_run_msim_rtl_verilog.do <span style='color:#111;'> 497B </span>","children":null,"spread":false},{"title":"rom78.v <span style='color:#111;'> 6.45KB </span>","children":null,"spread":false},{"title":"boxing128.hex <span style='color:#111;'> 1.89KB </span>","children":null,"spread":false},{"title":"sin.mif <span style='color:#111;'> 1.84KB </span>","children":null,"spread":false},{"title":"lab2.qpf <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"rom78_inst.v <span style='color:#111;'> 92B </span>","children":null,"spread":false},{"title":"CNT7B.v <span style='color:#111;'> 433B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明