spi fpga verilog 代码

上传者: zikong094 | 上传时间: 2019-12-21 22:22:41 | 文件大小: 67KB | 文件类型: rar
简单易懂的spi线,使用Verilog语言编写,里面代码分为spi_master.v ;spi_slave.v,并且有仿真环境及testbench代码,强调一点,只是作为快速理解spi总线的,若要用于工程项目的话,还需要添加其他代码,请自行添加。

文件下载

资源详情

[{"title":"( 63 个子文件 67KB ) spi fpga verilog 代码","children":[{"title":"spi","children":[{"title":"src","children":[{"title":"spi_slave.v.bak <span style='color:#111;'> 1.13KB </span>","children":null,"spread":false},{"title":"spi_master.v <span style='color:#111;'> 1.73KB </span>","children":null,"spread":false},{"title":"spi_slave.v <span style='color:#111;'> 1.15KB </span>","children":null,"spread":false},{"title":"spi_test.v.bak <span style='color:#111;'> 482B </span>","children":null,"spread":false},{"title":"spi_test.v <span style='color:#111;'> 483B </span>","children":null,"spread":false},{"title":"spi_master.v.bak <span style='color:#111;'> 1.71KB </span>","children":null,"spread":false}],"spread":true},{"title":"sim","children":[{"title":"work.cr.mti <span style='color:#111;'> 740B </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 40.00KB </span>","children":null,"spread":false},{"title":"work","children":[{"title":"spi_test","children":[{"title":"_primary.dbs <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 6.03KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 76B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 2.32KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 629B </span>","children":null,"spread":false}],"spread":true},{"title":"spi_slave","children":[{"title":"_primary.dbs <span style='color:#111;'> 2.66KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 8.70KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 371B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 3.27KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.03KB </span>","children":null,"spread":false}],"spread":true},{"title":"_info <span style='color:#111;'> 724B </span>","children":null,"spread":false},{"title":"_temp","children":[{"title":"vlog2bzxzj <span style='color:#111;'> 505B </span>","children":null,"spread":false},{"title":"vlogstnf2j <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"vlogw4y9kr <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"vlog46e1bs <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vlog1qbj9q <span style='color:#111;'> 870B </span>","children":null,"spread":false},{"title":"vlogd5vgmn <span style='color:#111;'> 536B </span>","children":null,"spread":false},{"title":"vlogyihb4y <span style='color:#111;'> 591B </span>","children":null,"spread":false},{"title":"vlogcj56r8 <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"vlogvad30d <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"vlog740we6 <span style='color:#111;'> 872B </span>","children":null,"spread":false},{"title":"vlog6zt7y7 <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"vlog17gjsm <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vlog34jiq2 <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vlog7k4wy4 <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vlog6e4iia <span style='color:#111;'> 534B </span>","children":null,"spread":false},{"title":"vlogrb1k9f <span style='color:#111;'> 872B </span>","children":null,"spread":false},{"title":"vlogmnmfxm <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"vlog6yzi2c <span style='color:#111;'> 502B </span>","children":null,"spread":false},{"title":"vlogm3na14 <span style='color:#111;'> 869B </span>","children":null,"spread":false},{"title":"vlog4zf2s4 <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"vlogw10jii <span style='color:#111;'> 871B </span>","children":null,"spread":false},{"title":"vlogzy9i9x <span style='color:#111;'> 620B </span>","children":null,"spread":false},{"title":"vlogea7zh3 <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vlog1ibi6h <span style='color:#111;'> 502B </span>","children":null,"spread":false},{"title":"vlogwj5361 <span style='color:#111;'> 507B </span>","children":null,"spread":false},{"title":"vlogkatf1j <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vloggrznar <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"vlogm6hfdq <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"vloga7a0r3 <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"vlogen8ns7 <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"vlogk3nfek <span style='color:#111;'> 870B </span>","children":null,"spread":false},{"title":"vlog3xbdti <span style='color:#111;'> 870B </span>","children":null,"spread":false},{"title":"vlog9ki8kf <span style='color:#111;'> 618B </span>","children":null,"spread":false},{"title":"vlogwqxsn4 <span style='color:#111;'> 504B </span>","children":null,"spread":false},{"title":"vlogri5kwd <span style='color:#111;'> 1.66KB </span>","children":null,"spread":false},{"title":"vlogb7g938 <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false}],"spread":false},{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"spi_master","children":[{"title":"_primary.dbs <span style='color:#111;'> 3.50KB </span>","children":null,"spread":false},{"title":"verilog.asm <span style='color:#111;'> 11.59KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 626B </span>","children":null,"spread":false},{"title":"verilog.rw <span style='color:#111;'> 4.54KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"work.mpf <span style='color:#111;'> 54.45KB </span>","children":null,"spread":false},{"title":"run.do <span style='color:#111;'> 1.17KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

  • qq_35636740 :
    代码不行,不建议下载
    2019-05-11

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明