打地鼠游戏设计程序VHDL

上传者: zhou8023chao | 上传时间: 2021-12-15 21:22:34 | 文件大小: 205KB | 文件类型: -
本设计灵感来源于文曲星上风靡一时的打地鼠游戏,旨在一方面作为娱乐游戏,同时可以锻炼人的反应速度。游戏开始后4*4的点阵中每隔一定秒数(由设计难度决定)会随机点亮一盏,以供选手进行游戏。当一盏灯点亮时,在下一盏灯亮之前按下对应的键盘即可得分,否则失分。游戏开始时难度初始值可设定,随后进行一分钟倒计时,一旦为0游戏结束。 在规定时间内得分最高者胜出。

文件下载

评论信息

  • baidu_28481721 :
    还行,缺少一些模块
    2015-11-20
  • qq_24413469 :
    实现功能的方法有参考价值,谢谢
    2015-11-05
  • qq_32199911 :
    有缺少的部分啊感觉
    2015-10-22
  • love2_lh :
    非常有参考价值,学习了,对我的实验非常有帮助
    2015-10-19
  • ojianmo12 :
    嗯, 对我帮助很大
    2014-10-31

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明