SHA-1哈希加密算法的verilog程序

上传者: zhanghe901126 | 上传时间: 2021-10-13 10:23:11 | 文件大小: 11KB | 文件类型: -
利用verilog语言实现了SHA-1机密算法,具体算法与加密芯片ds28e01一致

文件下载

评论信息

  • weixin_42312623 :
    程序不完整,case只写了几个情况然后就没了,很差劲。
    2021-07-20
  • dxxyz :
    浪费资源,效果不好
    2016-08-02
  • kayson12345 :
    用的IO pin达685个,实现浪费资源。而且还没有建立工程和测试文件。有点不合人意。
    2015-07-01
  • yingzck :
    很好的程序,节约了不少时间
    2014-09-11

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明