VHDL语言实现的数字密码锁源代码(Quartus 7.2调试通过)

上传者: ysire | 上传时间: 2019-12-21 22:13:26 | 文件大小: 570KB | 文件类型: RAR
源代码均已调试通过,并说明编程环境 用VHDL实现的三位密码锁,可实现设置、上锁、解锁、输入三次错误即报警等基本功能,内含仿真文件。

文件下载

资源详情

[{"title":"( 113 个子文件 570KB ) VHDL语言实现的数字密码锁源代码(Quartus 7.2调试通过)","children":[{"title":"密码锁实验报告.doc <span style='color:#111;'> 92.00KB </span>","children":null,"spread":false},{"title":"dl_coder.vhd <span style='color:#111;'> 1.37KB </span>","children":null,"spread":false},{"title":"dlock.qws <span style='color:#111;'> 1.00KB </span>","children":null,"spread":false},{"title":"dl_control.vhd <span style='color:#111;'> 2.54KB </span>","children":null,"spread":false},{"title":"dlock.fit.rpt <span style='color:#111;'> 185.23KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • 牵星过洋 :
    借鉴一下思路
    2018-06-10
  • qq_15255251 :
    Very Good~~~
    2018-03-29
  • hehorizon :
    新手借鉴一下,不错
    2015-08-24
  • 勇气and坚持 :
    只是有点庞大。还好,谢谢了.
    2014-09-12
  • murongzixue :
    看了,但是有点看不懂啊,但是还是有一点点帮助啦,设计的还是很好但是跟我的实验器材有点不符合
    2014-06-04

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明