MIPS Instruction Memory (练习)

上传者: xyl903481310 | 上传时间: 2021-05-04 10:02:07 | 文件大小: 593KB | 文件类型: ZIP
---Instruction Memory (Single-Ported Read-Only Memory)--- • Generics - Instruction memory size/depth (instr_mem_depth with default value of 39 locations) - Instruction memory width (instr_mem_width with default value of 32 bits) • Inputs - Asynchronous reset for mimicking program load (rst -> 1 bit) - Address for instruction read (A -> n_bits_address bits = 32 bits) • Outputs - Instruction fetched (Instr -> instr_mem_width bits) • In Vivado - Create a blank project - Add design and simulation source files - Run behavioral simulation - Your waveform configuration should be identical to the provided waveform snapshot, see Figure 2.

文件下载

资源详情

[{"title":"( 60 个子文件 593KB ) MIPS Instruction Memory (练习)","children":[{"title":"InstrMem","children":[{"title":"design_sources","children":[{"title":"my_packages","children":[{"title":"ieee_2008","children":[{"title":"math_real-body.vhdl <span style='color:#111;'> 63.83KB </span>","children":null,"spread":false},{"title":"math_real.vhdl <span style='color:#111;'> 20.78KB </span>","children":null,"spread":false}],"spread":true},{"title":"my_package.vhd <span style='color:#111;'> 2.72KB </span>","children":null,"spread":false},{"title":"my_package-body.vhd <span style='color:#111;'> 227B </span>","children":null,"spread":false}],"spread":true},{"title":"InstrMem.vhd <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false}],"spread":true},{"title":"simulation_sources","children":[{"title":"InstrMem_wave.wcfg <span style='color:#111;'> 1.74KB </span>","children":null,"spread":false},{"title":"InstrMem_tb.vhd <span style='color:#111;'> 1.68KB </span>","children":null,"spread":false}],"spread":true},{"title":"instr_mem_simulation_results.png <span style='color:#111;'> 72.23KB </span>","children":null,"spread":false},{"title":"MIPS_MEM.pdf <span style='color:#111;'> 453.09KB </span>","children":null,"spread":false},{"title":"vivado_project","children":[{"title":"vivado_project.xpr <span style='color:#111;'> 10.03KB </span>","children":null,"spread":false},{"title":"vivado_project.cache","children":[{"title":"wt","children":[{"title":"java_command_handlers.wdf <span style='color:#111;'> 646B </span>","children":null,"spread":false},{"title":"project.wpc <span style='color:#111;'> 61B </span>","children":null,"spread":false},{"title":"xsim.wdf <span style='color:#111;'> 256B </span>","children":null,"spread":false},{"title":"gui_handlers.wdf <span style='color:#111;'> 2.27KB </span>","children":null,"spread":false},{"title":"webtalk_pa.xml <span style='color:#111;'> 3.02KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"vivado_project.ip_user_files","children":[{"title":"README.txt <span style='color:#111;'> 130B </span>","children":null,"spread":false}],"spread":true},{"title":"vivado_project.hw","children":[{"title":"vivado_project.lpr <span style='color:#111;'> 290B </span>","children":null,"spread":false}],"spread":true},{"title":"vivado_project.sim","children":[{"title":"sim_1","children":[{"title":"behav","children":[{"title":"xsim","children":[{"title":"InstrMem_tb_behav.wdb <span style='color:#111;'> 13.35KB </span>","children":null,"spread":false},{"title":"elaborate.bat <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"simulate.bat <span style='color:#111;'> 1.10KB </span>","children":null,"spread":false},{"title":"webtalk_23900.backup.log <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"simulate.log <span style='color:#111;'> 50B </span>","children":null,"spread":false},{"title":"elaborate.log <span style='color:#111;'> 948B </span>","children":null,"spread":false},{"title":"webtalk.log <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"xvhdl.pb <span style='color:#111;'> 1.60KB </span>","children":null,"spread":false},{"title":"InstrMem_tb_vhdl.prj <span style='color:#111;'> 480B </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 40B </span>","children":null,"spread":false},{"title":"xvhdl.log <span style='color:#111;'> 1.07KB </span>","children":null,"spread":false},{"title":"webtalk_23900.backup.jou <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"xelab.pb <span style='color:#111;'> 2.02KB </span>","children":null,"spread":false},{"title":"compile.bat <span style='color:#111;'> 840B </span>","children":null,"spread":false},{"title":"compile.log <span style='color:#111;'> 1.07KB </span>","children":null,"spread":false},{"title":"InstrMem_tb.tcl <span style='color:#111;'> 459B </span>","children":null,"spread":false},{"title":".Xil","children":[{"title":"Webtalk-23900-WVD-ENG-5","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false},{"title":"Webtalk-23020-WVD-ENG-5","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"webtalk.jou <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"xsim.dir","children":[{"title":"xil_defaultlib","children":[{"title":"math_real.vdb <span style='color:#111;'> 101.70KB </span>","children":null,"spread":false},{"title":"xil_defaultlib.rlx <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":"instrmem.vdb <span style='color:#111;'> 3.47KB </span>","children":null,"spread":false},{"title":"my_package.vdb <span style='color:#111;'> 8.94KB </span>","children":null,"spread":false},{"title":"instrmem_tb.vdb <span style='color:#111;'> 4.90KB </span>","children":null,"spread":false}],"spread":false},{"title":"InstrMem_tb_behav","children":[{"title":"xsim.svtype <span style='color:#111;'> 12B </span>","children":null,"spread":false},{"title":"xsimSettings.ini <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"xsimk.exe <span style='color:#111;'> 70.56KB </span>","children":null,"spread":false},{"title":"obj","children":[{"title":"xsim_1.win64.obj <span style='color:#111;'> 2.42KB </span>","children":null,"spread":false},{"title":"xsim_0.win64.obj <span style='color:#111;'> 10.11KB </span>","children":null,"spread":false},{"title":"xsim_1.c <span style='color:#111;'> 4.10KB </span>","children":null,"spread":false}],"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 1.95KB </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 204B </span>","children":null,"spread":false},{"title":"xsimcrash.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 6.77KB </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 41.73KB </span>","children":null,"spread":false},{"title":"xsim.mem <span style='color:#111;'> 3.72KB </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 7.42KB </span>","children":null,"spread":false},{"title":"xsim.rlx <span style='color:#111;'> 734B </span>","children":null,"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 199B </span>","children":null,"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 330B </span>","children":null,"spread":false},{"title":"webtalk","children":[{"title":".xsim_webtallk.info <span style='color:#111;'> 64B </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false}],"spread":false}],"spread":false}],"spread":false}],"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true},{"title":"VHDL_1164pkg.pdf <span style='color:#111;'> 95.41KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明