verilog 编写的流水灯程序

上传者: weiyunguan8611 | 上传时间: 2021-03-26 16:52:49 | 文件大小: 109KB | 文件类型: RAR
用verilog编写的流水灯程序,内含多个程序,从一个灯点亮到四个灯。新手入门

文件下载

资源详情

[{"title":"( 74 个子文件 109KB ) verilog 编写的流水灯程序","children":[{"title":"key led1","children":[{"title":"src","children":[{"title":"freq.v <span style='color:#111;'> 516B </span>","children":null,"spread":false},{"title":"key_led_xingwei4.v <span style='color:#111;'> 390B </span>","children":null,"spread":false},{"title":"key_led_xingwei.v <span style='color:#111;'> 334B </span>","children":null,"spread":false},{"title":"key_led.v <span style='color:#111;'> 279B </span>","children":null,"spread":false},{"title":"key_led.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"led_driver.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"freq.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"key_led_xingwei4.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"led_run.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"led_driver.v <span style='color:#111;'> 321B </span>","children":null,"spread":false},{"title":"led_run.v <span style='color:#111;'> 1.06KB </span>","children":null,"spread":false},{"title":"key_led_xingwei.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false}],"spread":false},{"title":"sim","children":[{"title":"key_led_tb.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"led_driver_tb.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"key_led_tb.v <span style='color:#111;'> 264B </span>","children":null,"spread":false},{"title":"key_led_xingwei_tb.v.bak <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"key_led_xingwei_tb.v <span style='color:#111;'> 359B </span>","children":null,"spread":false},{"title":"led_driver_tb.v <span style='color:#111;'> 308B </span>","children":null,"spread":false}],"spread":true},{"title":"doc","children":null,"spread":false},{"title":"prj","children":[{"title":"db","children":[{"title":"key_led.rtlv_sg.cdb <span style='color:#111;'> 833B </span>","children":null,"spread":false},{"title":"key_led.map.cdb <span style='color:#111;'> 2.13KB </span>","children":null,"spread":false},{"title":"key_led.hif <span style='color:#111;'> 448B </span>","children":null,"spread":false},{"title":"key_led.sld_design_entry.sci <span style='color:#111;'> 277B </span>","children":null,"spread":false},{"title":"prev_cmp_key_led.qmsg <span style='color:#111;'> 8.46KB </span>","children":null,"spread":false},{"title":"key_led.tis_db_list.ddb <span style='color:#111;'> 246B </span>","children":null,"spread":false},{"title":"key_led.lpc.html <span style='color:#111;'> 372B </span>","children":null,"spread":false},{"title":"key_led.sld_design_entry_dsc.sci <span style='color:#111;'> 277B </span>","children":null,"spread":false},{"title":"key_led.pti_db_list.ddb <span style='color:#111;'> 246B </span>","children":null,"spread":false},{"title":"key_led.map.bpm <span style='color:#111;'> 574B </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.reg_db.cdb <span style='color:#111;'> 221B </span>","children":null,"spread":false},{"title":"key_led.cmp_merge.kpt <span style='color:#111;'> 208B </span>","children":null,"spread":false},{"title":"key_led.cbx.xml <span style='color:#111;'> 89B </span>","children":null,"spread":false},{"title":"key_led.cmp.rdb <span style='color:#111;'> 5.19KB </span>","children":null,"spread":false},{"title":"key_led.rtlv_sg_swap.cdb <span style='color:#111;'> 204B </span>","children":null,"spread":false},{"title":"key_led.map_bb.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false},{"title":"key_led.map.rdb <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"key_led.map_bb.cdb <span style='color:#111;'> 1.78KB </span>","children":null,"spread":false},{"title":"key_led.(0).cnf.hdb <span style='color:#111;'> 662B </span>","children":null,"spread":false},{"title":"key_led.hier_info <span style='color:#111;'> 111B </span>","children":null,"spread":false},{"title":"key_led.smart_action.txt <span style='color:#111;'> 5B </span>","children":null,"spread":false},{"title":"key_led.map.qmsg <span style='color:#111;'> 9.41KB </span>","children":null,"spread":false},{"title":"key_led.(0).cnf.cdb <span style='color:#111;'> 819B </span>","children":null,"spread":false},{"title":"key_led.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"key_led.rtlv.hdb <span style='color:#111;'> 9.99KB </span>","children":null,"spread":false},{"title":"key_led.tmw_info <span style='color:#111;'> 58B </span>","children":null,"spread":false},{"title":"key_led.map_bb.hdb <span style='color:#111;'> 9.22KB </span>","children":null,"spread":false},{"title":"key_led.sgdiff.cdb <span style='color:#111;'> 2.03KB </span>","children":null,"spread":false},{"title":"key_led.map.kpt <span style='color:#111;'> 309B </span>","children":null,"spread":false},{"title":"key_led.lpc.rdb <span style='color:#111;'> 403B </span>","children":null,"spread":false},{"title":"key_led.smp_dump.txt <span style='color:#111;'> 153B </span>","children":null,"spread":false},{"title":"key_led.map.hdb <span style='color:#111;'> 9.96KB </span>","children":null,"spread":false},{"title":"key_led.map.ammdb <span style='color:#111;'> 129B </span>","children":null,"spread":false},{"title":"key_led.sgdiff.hdb <span style='color:#111;'> 10.14KB </span>","children":null,"spread":false},{"title":"key_led.pre_map.hdb <span style='color:#111;'> 10.04KB </span>","children":null,"spread":false},{"title":"key_led.ipinfo <span style='color:#111;'> 163B </span>","children":null,"spread":false},{"title":"key_led.lpc.txt <span style='color:#111;'> 1.04KB </span>","children":null,"spread":false},{"title":"key_led.map.logdb <span style='color:#111;'> 4B </span>","children":null,"spread":false}],"spread":false},{"title":"key_led.qws <span style='color:#111;'> 5.49KB </span>","children":null,"spread":false},{"title":"incremental_db","children":[{"title":"compiled_partitions","children":[{"title":"key_led.root_partition.map.kpt <span style='color:#111;'> 311B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.hdb <span style='color:#111;'> 9.69KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.hb_info <span style='color:#111;'> 46B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.dpi <span style='color:#111;'> 656B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.sig <span style='color:#111;'> 32B </span>","children":null,"spread":false},{"title":"key_led.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hbdb.cdb <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.hdb <span style='color:#111;'> 9.85KB </span>","children":null,"spread":false},{"title":"key_led.root_partition.map.cdb <span style='color:#111;'> 1.88KB </span>","children":null,"spread":false}],"spread":true},{"title":"README <span style='color:#111;'> 653B </span>","children":null,"spread":false}],"spread":true},{"title":"key_led.qsf <span style='color:#111;'> 3.45KB </span>","children":null,"spread":false},{"title":"key_led.qpf <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"output_files","children":[{"title":"key_led.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"key_led.map.rpt <span style='color:#111;'> 21.69KB </span>","children":null,"spread":false},{"title":"key_led.map.summary <span style='color:#111;'> 471B </span>","children":null,"spread":false},{"title":"key_led.flow.rpt <span style='color:#111;'> 7.09KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明