cnt_24gzxszh_24位计数器_vivado的cnt_

上传者: 42691388 | 上传时间: 2022-11-04 09:51:47 | 文件大小: 65KB | 文件类型: ZIP
FPGA 在vivado平台上利用veilog语言实现24位计数功能

文件下载

资源详情

[{"title":"( 53 个子文件 65KB ) cnt_24gzxszh_24位计数器_vivado的cnt_","children":[{"title":"cnt_24gzxszh","children":[{"title":"project_1.sim","children":[{"title":"sim_1","children":[{"title":"behav","children":[{"title":"xsim","children":[{"title":"glbl.v <span style='color:#111;'> 1.44KB </span>","children":null,"spread":false},{"title":"elaborate.log <span style='color:#111;'> 758B </span>","children":null,"spread":false},{"title":"bcd_counter_tb_vlog.prj <span style='color:#111;'> 276B </span>","children":null,"spread":false},{"title":"compile.bat <span style='color:#111;'> 846B </span>","children":null,"spread":false},{"title":"simulate.log <span style='color:#111;'> 50B </span>","children":null,"spread":false},{"title":"wq_vlog.prj <span style='color:#111;'> 276B </span>","children":null,"spread":false},{"title":"elaborate.bat <span style='color:#111;'> 923B </span>","children":null,"spread":false},{"title":"compile.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"webtalk_8204.backup.jou <span style='color:#111;'> 897B </span>","children":null,"spread":false},{"title":"xsim.ini <span style='color:#111;'> 40B </span>","children":null,"spread":false},{"title":"simulate.bat <span style='color:#111;'> 816B </span>","children":null,"spread":false},{"title":"xelab.pb <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false},{"title":"xvlog.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"webtalk.jou <span style='color:#111;'> 898B </span>","children":null,"spread":false},{"title":"xvlog.pb <span style='color:#111;'> 16B </span>","children":null,"spread":false},{"title":"bcd_counter_tb_behav.wdb <span style='color:#111;'> 9.70KB </span>","children":null,"spread":false},{"title":"webtalk.log <span style='color:#111;'> 967B </span>","children":null,"spread":false},{"title":"bcd_counter_tb.tcl <span style='color:#111;'> 460B </span>","children":null,"spread":false},{"title":"webtalk_8204.backup.log <span style='color:#111;'> 966B </span>","children":null,"spread":false},{"title":".Xil","children":[{"title":"Webtalk-13064-LAPTOP-4JL2P6JL","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false},{"title":"Webtalk-8204-LAPTOP-4JL2P6JL","children":[{"title":"webtalk","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"xsim.dir","children":[{"title":"bcd_counter_tb_behav","children":[{"title":"xsimSettings.ini <span style='color:#111;'> 1.13KB </span>","children":null,"spread":false},{"title":"xsim.xdbg <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false},{"title":"xsim.rtti <span style='color:#111;'> 190B </span>","children":null,"spread":false},{"title":"xsimkernel.log <span style='color:#111;'> 336B </span>","children":null,"spread":false},{"title":"Compile_Options.txt <span style='color:#111;'> 262B </span>","children":null,"spread":false},{"title":"xsimk.exe <span style='color:#111;'> 69.96KB </span>","children":null,"spread":false},{"title":"xsim.dbg <span style='color:#111;'> 5.59KB </span>","children":null,"spread":false},{"title":"xsim.reloc <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false},{"title":"TempBreakPointFile.txt <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"xsim.rlx <span style='color:#111;'> 783B </span>","children":null,"spread":false},{"title":"xsimcrash.log <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"xsim.type <span style='color:#111;'> 24B </span>","children":null,"spread":false},{"title":"webtalk","children":[{"title":".xsim_webtallk.info <span style='color:#111;'> 64B </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.html <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"usage_statistics_ext_xsim.xml <span style='color:#111;'> 2.78KB </span>","children":null,"spread":false}],"spread":false},{"title":"xsim.svtype <span style='color:#111;'> 39B </span>","children":null,"spread":false},{"title":"obj","children":[{"title":"xsim_1.win64.obj <span style='color:#111;'> 2.94KB </span>","children":null,"spread":false},{"title":"xsim_0.win64.obj <span style='color:#111;'> 10.07KB </span>","children":null,"spread":false},{"title":"xsim_1.c <span style='color:#111;'> 4.63KB </span>","children":null,"spread":false}],"spread":false},{"title":"xsim.mem <span style='color:#111;'> 2.94KB </span>","children":null,"spread":false}],"spread":false},{"title":"xil_defaultlib","children":[{"title":"glbl.sdb <span style='color:#111;'> 3.62KB </span>","children":null,"spread":false},{"title":"bcd_counter.sdb <span style='color:#111;'> 1.87KB </span>","children":null,"spread":false},{"title":"bcd_counter_tb.sdb <span style='color:#111;'> 1.11KB </span>","children":null,"spread":false},{"title":"xil_defaultlib.rlx <span style='color:#111;'> 432B </span>","children":null,"spread":false}],"spread":false}],"spread":false}],"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"project_1.xpr <span style='color:#111;'> 8.88KB </span>","children":null,"spread":false},{"title":"project_1.srcs","children":[{"title":"sources_1","children":[{"title":"new","children":[{"title":"wq.v <span style='color:#111;'> 728B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"sim_1","children":[{"title":"new","children":[{"title":"wq.v <span style='color:#111;'> 347B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"project_1.ip_user_files","children":[{"title":"README.txt <span style='color:#111;'> 130B </span>","children":null,"spread":false}],"spread":true},{"title":"project_1.cache","children":[{"title":"wt","children":[{"title":"gui_handlers.wdf <span style='color:#111;'> 2.74KB </span>","children":null,"spread":false},{"title":"webtalk_pa.xml <span style='color:#111;'> 3.27KB </span>","children":null,"spread":false},{"title":"project.wpc <span style='color:#111;'> 61B </span>","children":null,"spread":false},{"title":"java_command_handlers.wdf <span style='color:#111;'> 503B </span>","children":null,"spread":false},{"title":"xsim.wdf <span style='color:#111;'> 256B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"project_1.hw","children":[{"title":"project_1.lpr <span style='color:#111;'> 290B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明