PRBS发生器并行实现方法-高速SERDES内置PRBS设计

上传者: 42202078 | 上传时间: 2022-06-16 17:32:40 | 文件大小: 280KB | 文件类型: PPT
PRBS发生器并行实现方法 现在我们知道PRBS发生器的串行实现方式,每隔一个串行时钟发出一位,那么10个时钟后应该输出什么呢?在此先做一个约定,串化是按照高位先行。例如 1001 1100 11 ,高位先行就是 1..0..0..1 1 1 0 0 11。下边推导PRBS 7并行实现方法。 问题:正如前面讲到的速率已经上升到Gbps,在数字处理如何实现这么高的速度,而据了解现目前A/D可达到的最高速度还远远低于这个速度。据之前的实验经验即使采用ALTERA的Stratix IV FPGA 验证数字设计,当时钟上到400M以后就很难通过综合。实际应用中并不会采取这种串行输出的方式,而是采取并行实现方式。 在高速SERDES中TX端是以10/1的压缩率进行数字处理。采取并行方式实现PRBS 发生器。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明