UART:VHDL中的简单UART实现

上传者: 42166623 | 上传时间: 2022-11-07 23:03:41 | 文件大小: 7KB | 文件类型: ZIP
串口 VHDL中的简单UART实现 描述 非常简单,无缓冲的8位数据位,0位奇偶校验,1位停止位串行通信通道的实现。 通过分别设置I_clk_baud_count,应该能够在任何波特率下(有一定程度的错误): For a 50MHz I_clk: I_clk_baud_count := X"1458" -- 9600bps I_clk_baud_count := X"01B2" -- 115200bps To generate other timings, perform calculation: / = I_clk_baud_count 50000000 / 9600 = 5208 (0x1458) #输入/输出: SYS

文件下载

资源详情

[{"title":"( 5 个子文件 7KB ) UART:VHDL中的简单UART实现","children":[{"title":"UART-master","children":[{"title":"vhdl","children":[{"title":"uart_simple.vhd <span style='color:#111;'> 7.12KB </span>","children":null,"spread":false}],"spread":true},{"title":"README.md <span style='color:#111;'> 1.77KB </span>","children":null,"spread":false},{"title":"tests","children":[{"title":"uart_simple_loopback_tb.vhd <span style='color:#111;'> 4.74KB </span>","children":null,"spread":false},{"title":"uart_simple_rx_tb.vhd <span style='color:#111;'> 3.83KB </span>","children":null,"spread":false},{"title":"uart_simple_tx_tb.vhd <span style='color:#111;'> 3.44KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明