VSCode-SystemVerilog:VS Code中的SystemVerilog支持

上传者: 42162171 | 上传时间: 2022-08-04 14:43:55 | 文件大小: 766KB | 文件类型: ZIP
SystemVerilog-语言支持 此VS Code扩展提供了更快读取,导航和编写SystemVerilog代码的功能。 特征 精心 转到文档中的符号( Ctrl+Shift+O ) 转到工作空间文件夹(已索引模块/接口/程序/类/程序包)中的符号( Ctrl+T ) 转到定义(适用于模块/接口/程序/类/程序包名称以及端口! )( Ctrl+LeftClick ) 在已建立索引的工作空间上快速入门 许多常见块的代码段 从已索引的模块实例化模块 带有模拟器的Linter Capabilites() 通过集成的SystemVerilog解析器和IntelliSense(完全符合IEEE标准1800-2017)进行快速实时错误识别 如果您发现错误或想要功能,则将其作为“请求或提交“ 例子 语法高亮 转到定义 模块实例化 推荐建议 如果您的工作空间中有网表,则可以在设置中排除它们,例如

文件下载

资源详情

[{"title":"( 183 个子文件 766KB ) VSCode-SystemVerilog:VS Code中的SystemVerilog支持","children":[{"title":".prettierignore <span style='color:#111;'> 345B </span>","children":null,"spread":false},{"title":".gitignore <span style='color:#111;'> 174B </span>","children":null,"spread":false},{"title":"tsconfig.json <span style='color:#111;'> 582B </span>","children":null,"spread":false},{"title":"README.md <span style='color:#111;'> 4.90KB </span>","children":null,"spread":false},{"title":"CHANGELOG.md <span style='color:#111;'> 4.72KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明