cocotb:cocotb,一个基于协程的协同仿真库,用于用Python编写VHDL和Verilog测试平台-源码

上传者: 42131424 | 上传时间: 2021-10-15 23:21:00 | 文件大小: 804KB | 文件类型: -
cocotb是基于协程的协同仿真库,用于用Python编写VHDL和Verilog测试平台。 阅读 参与其中: (需要GitHub帐户) 安装 当前的cocotb稳定版本要求: Python 3.5+ C ++ 11编译器 HDL模拟器(例如 , , 或) 安装这些依赖项后,可以使用pip安装最新的稳定版本的cocotb。 pip install cocotb 有关安装的更多详细信息,包括前提条件,请参阅。 有关如何安装cocotb的开发版本的详细信息,请参阅。 !!! 总线和测试平台组件!!! 可重用的总线接口和测试平台组件最近已移至软件包。 您可以通过添加bus Extra安装来轻松地与cocotb同时安装它们: pip install cocotb[bus] 。 用法 作为对cocotb的第一个简单介绍,下面的示例“测试”触发器。 首先,我们需要可以测试的硬件设

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明