VsdSky130_openLANE:其中包含我为VLSI System Design(VSD)设计的“使用OpenLANESky130进行高级物理设计”为期5天的研讨会的描述和实验快照。-源码

上传者: 42121058 | 上传时间: 2021-10-20 19:29:58 | 文件大小: 829KB | 文件类型: -
OpenLANE OpenLANE是一种自动化的RTL2GDSII流程,其中包括许多其他EDA工具。 Yosys,OpenSTA,Netgen,Magic等。OpenLANE的主要思想是在没有任何人工干预的情况下拥有完整而干净的RTL2GDSII流。 OpenLANE针对Skywatrer 130nm开源PDK进行了调整,可用于生产硬宏和芯片。 介绍 OpenLANE ASIC流程有几个步骤。 该流程以设计RTL开始,以GSII格式的最终​​布局结束。 要使它发挥作用,需要PDK。 OpenLANE基于多个开源项目,例如Magic,Yosys,qflow,Fault,openroad,abc和Klayout。 这是ASIC流程。 该流程从RTL合成开始。 RTL在某些设计约束下被馈送到yosys 。 yosys基本上使用工程组件将RTL转换为逻辑电路。 该电路经过优化,然后使用abc映

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明