贪吃蛇大作战,基于Basys3和FPGA设计的贪吃蛇小游戏设计资料-电路方案

上传者: 38749268 | 上传时间: 2021-11-02 20:29:45 | 文件大小: 3.53MB | 文件类型: -
现在小孩子玩的最多的玩具就是手机了,不禁感慨,我们小时候都是咋过来的,有个游戏机,可以玩个贪吃蛇、俄罗斯方块就不错了。可以自己设计个贪吃蛇游戏玩玩,重温童年的经典,也让现在小孩子知道,珍惜当下美好的生活。 功能实现说明: 此游戏较为简单,没有设置多余障碍物,只设置了四周的墙壁,贪食蛇所吃的苹果随机刷新,当蛇装上墙壁或者自己的身体,游戏结束。 此游戏使用五个按键,利用Basys3上的按钮,四个方向键,一个重新开始游戏按钮,一个操作开关。使用7位数码管进行计分,每吃到一个苹果分数+1。使用VGA显示游戏界面。 DIY动手指南: Step1:材料准备 硬件: Basys3开发板 VGA连接线及VGA显示器一台 软件平台:Vivado2016.4 Step2:系统框架 系统主要由6部分组成,分别是按键输入模块、控制模块、数据路径模块、随机生成 模块、VGA显示模块和数码管显示模块。 Step3:程序设计 上图是本程序的RTL级视图。 下面我们对于各个模块进行分析。 1.键盘扫描 我们一共设置了五个按键,分别执行up,down,left,right,restart这五个功能。 以up_key_press为例,介绍消抖的算法。 在每个时钟高电平时并行执行以下两条语句 up_key_press<=0; up_key_last<=0; 当有按键按下时,每100ms(cnt=5_0000) last=up,last输出比up滞后一个周期,若up_key_last==0&&up==1,则说明按键按下,press输出置1。 2.控制模块 当打开开始开关并按下任意一个方向键时,游戏开始,当游戏结束时闪烁5下,重新开始游戏。 3.数据路径模块 cube_x,cube_y表示一整条蛇身体各节的格坐标。is_exist有16位,即蛇体最长为16*1格,每一位对应一个格,1为该格显示,0则不显示(图中虚框)。每吃下一个苹果蛇长度增加1,相应exist位置变为1。 蛇每次移动,对应的cube[x] = cube[x+1],即后一位的身子会移动到前一位的位置,蛇头根据按下的按钮来判断是撞到了墙,还是撞到了身子,还是移动到了下一个位置。 当蛇头和苹果重合时,生成一个增加身长的信号,并在计数器上+1。 4.随机生成模块 采用伪随机数的产生方法,比较笨拙。 每个时钟周期random_num都在变,而我们吃下苹果的时刻却因走法、按键的时间等有所不同,所以不同时刻吃下苹果后下一个苹果出现的地方近似随机~ 5.VGA显示模块 使用640*480分辨率,需要分频为25MHz的时钟信号。 6.数码管显示模块 当有增长身长信号产生时,分数也对应增加,数码管动态扫描显示分数。 Step4:演示

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明