基于FPGA的FSK调制解调器设计 (2014年)

上传者: 38644233 | 上传时间: 2021-09-09 17:24:41 | 文件大小: 1.14MB | 文件类型: PDF
数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过Quartus Ⅱ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了2FSK调制解调电路。仿真及实验结果表明采用此设计方案是可行的,并具有速度快、可靠性高及易于大规模集成的优点。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明