Proteus-labview-步进电机.zip

上传者: 37663721 | 上传时间: 2021-05-21 21:57:00 | 文件大小: 2.3MB | 文件类型: ZIP
proteus仿真,proteus和labview通信,通过proteus仿真,实现51控制步进电机正反转和加减速。步进电机通过L297逻辑控制,实现脉冲和方向控制,不用自己AB相高低电平控制。

文件下载

资源详情

[{"title":"( 35 个子文件 2.3MB ) Proteus-labview-步进电机.zip","children":[{"title":"提交资料","children":[{"title":"虚拟串口+调试心得","children":[{"title":"Proteus 虚拟串口调试心得.pdf <span style='color:#111;'> 209.92KB </span>","children":null,"spread":false},{"title":"重要说明.txt <span style='color:#111;'> 165B </span>","children":null,"spread":false},{"title":"虚拟串口","children":[{"title":"vspdxp.exe <span style='color:#111;'> 1.73MB </span>","children":null,"spread":false},{"title":"vspdctl.dll <span style='color:#111;'> 88.13KB </span>","children":null,"spread":false},{"title":"readme.txt <span style='color:#111;'> 37B </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"说明.txt <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"step1","children":[{"title":"step.uvopt <span style='color:#111;'> 6.27KB </span>","children":null,"spread":false},{"title":"main.c <span style='color:#111;'> 2.99KB </span>","children":null,"spread":false},{"title":"Objects","children":[{"title":"step.build_log.htm <span style='color:#111;'> 1.13KB </span>","children":null,"spread":false},{"title":"usart.obj <span style='color:#111;'> 4.04KB </span>","children":null,"spread":false},{"title":"main.obj <span style='color:#111;'> 7.84KB </span>","children":null,"spread":false},{"title":"pwm.obj <span style='color:#111;'> 2.37KB </span>","children":null,"spread":false},{"title":"STARTUP.obj <span style='color:#111;'> 828B </span>","children":null,"spread":false},{"title":"step <span style='color:#111;'> 13.10KB </span>","children":null,"spread":false},{"title":"step.lnp <span style='color:#111;'> 161B </span>","children":null,"spread":false},{"title":"step.hex <span style='color:#111;'> 2.63KB </span>","children":null,"spread":false}],"spread":true},{"title":"pwm.h <span style='color:#111;'> 95B </span>","children":null,"spread":false},{"title":"step.uvproj <span style='color:#111;'> 14.04KB </span>","children":null,"spread":false},{"title":"usart.c <span style='color:#111;'> 1.50KB </span>","children":null,"spread":false},{"title":"usart.h <span style='color:#111;'> 211B </span>","children":null,"spread":false},{"title":"pwm.c <span style='color:#111;'> 681B </span>","children":null,"spread":false},{"title":"STARTUP.A51 <span style='color:#111;'> 6.23KB </span>","children":null,"spread":false},{"title":"main.h <span style='color:#111;'> 92B </span>","children":null,"spread":false},{"title":"step.uvgui.24306 <span style='color:#111;'> 86.88KB </span>","children":null,"spread":false},{"title":"Listings","children":[{"title":"main.lst <span style='color:#111;'> 6.88KB </span>","children":null,"spread":false},{"title":"usart.lst <span style='color:#111;'> 3.47KB </span>","children":null,"spread":false},{"title":"step.m51 <span style='color:#111;'> 17.68KB </span>","children":null,"spread":false},{"title":"pwm.lst <span style='color:#111;'> 2.37KB </span>","children":null,"spread":false},{"title":"STARTUP.lst <span style='color:#111;'> 13.81KB </span>","children":null,"spread":false}],"spread":false}],"spread":false},{"title":"proteus","children":[{"title":"Last Loaded BISTEPPER.pdsbak <span style='color:#111;'> 26.39KB </span>","children":null,"spread":false},{"title":"BISTEPPER.pdsprj.DESKTOP-O6K0VA0.24306.workspace <span style='color:#111;'> 2.15KB </span>","children":null,"spread":false},{"title":"Backup Of BISTEPPER.pdsbak <span style='color:#111;'> 26.46KB </span>","children":null,"spread":false},{"title":"BISTEPPER.pdsprj <span style='color:#111;'> 26.46KB </span>","children":null,"spread":false}],"spread":true},{"title":"说明.docx <span style='color:#111;'> 226.10KB </span>","children":null,"spread":false},{"title":"labview","children":[{"title":"Continuous Serial Write and Read.vi <span style='color:#111;'> 47.66KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明