完整版 VHDL设计数字电子时钟

上传者: u013523848 | 上传时间: 2019-12-21 21:28:21 | 文件大小: 3.77MB | 文件类型: rar
有完整的代码,也有设计好的完整的程序工程, 拿到手后可以直接在Quartus2上运行,还附有设计报告,包含连接图和仿真图!

文件下载

资源详情

[{"title":"( 1416 个子文件 3.77MB ) 完整版 VHDL设计数字电子时钟","children":[{"title":"fenpin.vwf <span style='color:#111;'> 3.39KB </span>","children":null,"spread":false},{"title":"xiang.vwf <span style='color:#111;'> 10.22KB </span>","children":null,"spread":false},{"title":"minute.vwf <span style='color:#111;'> 5.92KB </span>","children":null,"spread":false},{"title":"second.vwf <span style='color:#111;'> 6.31KB </span>","children":null,"spread":false},{"title":"hour.vwf <span style='color:#111;'> 5.50KB </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

  • 塔克拉玛干的咸鱼 :
    非常不错 很好用
    2019-03-27
  • qq_41411576 :
    挺不错,值得借鉴
    2019-02-28
  • 难瘦 :
    很不错,各部分都很详细。感谢大佬。
    2018-12-20
  • qq_43568637 :
    非常不错,very nice
    2018-10-31
  • zbw1158 :
    程序设计报告还算完整,别的vhdl、模块都打不开
    2018-06-15

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明