异步fifo设计verilog源代码

上传者: sunlei017 | 上传时间: 2019-12-21 21:11:25 | 文件大小: 4.6MB | 文件类型: rar
这是一个异步FIFO的完全代码实现的modelsim工程,并附有参考论文。 仅作为参考,当然其中也有很多不足,希望批评指教,相互学习。

文件下载

资源详情

[{"title":"( 63 个子文件 4.6MB ) 异步fifo设计verilog源代码","children":[{"title":"异步FIFO存储器的设计.nh <span style='color:#111;'> 5.08MB </span>","children":null,"spread":false},{"title":"FIFO","children":[{"title":"FIFO.mpf <span style='color:#111;'> 32.79KB </span>","children":null,"spread":false},{"title":"graycntr.v <span style='color:#111;'> 676B </span>","children":null,"spread":false},{"title":"fifo.v <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"wptr_full.v <span style='color:#111;'> 1.65KB </span>","children":null,"spread":false},{"title":"multiplexer.mpf <span style='color:#111;'> 29.69KB </span>","children":null,"spread":false},{"title":"graycntr.v.bak <span style='color:#111;'> 676B </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"work","children":[{"title":"bin2gray","children":[{"title":"_primary.vhd <span style='color:#111;'> 251B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 214B </span>","children":null,"spread":false}],"spread":true},{"title":"gray2bin","children":[{"title":"_primary.vhd <span style='color:#111;'> 251B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 312B </span>","children":null,"spread":false}],"spread":true},{"title":"sync_r2w","children":[{"title":"_primary.vhd <span style='color:#111;'> 337B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 360B </span>","children":null,"spread":false}],"spread":true},{"title":"fifomen","children":[{"title":"_primary.vhd <span style='color:#111;'> 475B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 744B </span>","children":null,"spread":false}],"spread":true},{"title":"sync_w2r","children":[{"title":"_primary.vhd <span style='color:#111;'> 337B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 360B </span>","children":null,"spread":false}],"spread":false},{"title":"fifo","children":[{"title":"_primary.vhd <span style='color:#111;'> 627B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1001B </span>","children":null,"spread":false}],"spread":false},{"title":"graycntr","children":[{"title":"_primary.vhd <span style='color:#111;'> 283B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 470B </span>","children":null,"spread":false}],"spread":false},{"title":"rptr_empty","children":[{"title":"_primary.vhd <span style='color:#111;'> 477B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 890B </span>","children":null,"spread":false}],"spread":false},{"title":"_opt","children":[{"title":"work_tb_fifo_fast.dt2 <span style='color:#111;'> 476B </span>","children":null,"spread":false},{"title":"work__info <span style='color:#111;'> 1.97KB </span>","children":null,"spread":false},{"title":"work_sync_w2r_fast.dt2 <span style='color:#111;'> 376B </span>","children":null,"spread":false},{"title":"work_sync_r2w_fast.asm <span style='color:#111;'> 4.22KB </span>","children":null,"spread":false},{"title":"work_wptr_full_fast.asm <span style='color:#111;'> 12.10KB </span>","children":null,"spread":false},{"title":"work_sync_w2r_fast.asm <span style='color:#111;'> 4.20KB </span>","children":null,"spread":false},{"title":"work_wptr_full_fast.dt2 <span style='color:#111;'> 436B </span>","children":null,"spread":false},{"title":"work_fifomen_fast.asm <span style='color:#111;'> 8.43KB </span>","children":null,"spread":false},{"title":"work_tb_fifo_fast.asm <span style='color:#111;'> 8.77KB </span>","children":null,"spread":false},{"title":"work_fifo_fast.asm <span style='color:#111;'> 7.05KB </span>","children":null,"spread":false},{"title":"_deps <span style='color:#111;'> 643B </span>","children":null,"spread":false},{"title":"work_rptr_empty_fast.asm <span style='color:#111;'> 10.31KB </span>","children":null,"spread":false},{"title":"work_sync_r2w_fast.dt2 <span style='color:#111;'> 380B </span>","children":null,"spread":false},{"title":"work_rptr_empty_fast.dt2 <span style='color:#111;'> 436B </span>","children":null,"spread":false},{"title":"work_fifomen_fast.dt2 <span style='color:#111;'> 560B </span>","children":null,"spread":false},{"title":"work_fifo_fast.dt2 <span style='color:#111;'> 1004B </span>","children":null,"spread":false}],"spread":false},{"title":"_temp","children":null,"spread":false},{"title":"wptr_full","children":[{"title":"_primary.vhd <span style='color:#111;'> 475B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false}],"spread":false},{"title":"tb_fifo","children":[{"title":"_primary.vhd <span style='color:#111;'> 133B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 728B </span>","children":null,"spread":false}],"spread":false},{"title":"mux_tree","children":[{"title":"_primary.vhd <span style='color:#111;'> 334B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false}],"spread":false},{"title":"_info <span style='color:#111;'> 2.16KB </span>","children":null,"spread":false}],"spread":false},{"title":"bin2gray.v <span style='color:#111;'> 409B </span>","children":null,"spread":false},{"title":"fifomen.v.bak <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"sync_w2r.v <span style='color:#111;'> 626B </span>","children":null,"spread":false},{"title":"sync_r2w.v.bak <span style='color:#111;'> 625B </span>","children":null,"spread":false},{"title":"sync_w2r.v.bak <span style='color:#111;'> 625B </span>","children":null,"spread":false},{"title":"fifo.v.bak <span style='color:#111;'> 1.12KB </span>","children":null,"spread":false},{"title":"multiplexer.cr.mti <span style='color:#111;'> 241B </span>","children":null,"spread":false},{"title":"fifomen.v <span style='color:#111;'> 1.09KB </span>","children":null,"spread":false},{"title":"tb_fifo.v <span style='color:#111;'> 991B </span>","children":null,"spread":false},{"title":"gray2bin.v <span style='color:#111;'> 479B </span>","children":null,"spread":false},{"title":"tb_fifo.v.bak <span style='color:#111;'> 991B </span>","children":null,"spread":false},{"title":"rptr_empty.v.bak <span style='color:#111;'> 1.50KB </span>","children":null,"spread":false},{"title":"wptr_full.v.bak <span style='color:#111;'> 1.65KB </span>","children":null,"spread":false},{"title":"sync_r2w.v <span style='color:#111;'> 626B </span>","children":null,"spread":false},{"title":"FIFO.cr.mti <span style='color:#111;'> 1.59KB </span>","children":null,"spread":false},{"title":"rptr_empty.v <span style='color:#111;'> 1.51KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

  • sinat_38468503 :
    不错,挺好的。
    2017-05-23
  • 误码骑士 :
    很不错在,真的很详细
    2014-01-02
  • LoveSha123 :
    很好的资料,谢谢!
    2013-10-28
  • allenyi :
    思路很不错
    2013-10-12
  • ai983015682 :
    还不错,就是有点长
    2013-09-07

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明