基于FPGA的verilog语言的洗衣机控制程序

上传者: qszxzyj | 上传时间: 2021-03-05 18:07:38 | 文件大小: 22.48MB | 文件类型: RAR
洗衣机控制器的要求 1) 设计一个电子定时器,定时时间为99秒,控制洗衣机作如下运转:启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时时间未到回到“正转 20 秒→暂停 10 秒→……”,定时到则停止; 2) 若定时到,则停机发出LED全亮作为指示信号; 3) 用数码管显示洗涤的剩余时间(秒数),按倒计时方式对洗涤过程作计时显示,直到时间到,停机;洗涤过程由按下按键开始;用LED0、LED3、LED6分别表示“正转”、“暂停”、“反转”三个状态,按复位键返回初始状态。 FPGA芯片为XILINX的XC7A100T,软件版本vivado2018.2,程序已经写好绑上自己的管脚就能用,里面有debug和testbench调试程序。

文件下载

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明