单片机超声波测距+温度补偿+报警Proteus仿真(keil完整源项目+源c代码+proteus源仿真电路图)

上传者: 44907926 | 上传时间: 2021-08-08 13:02:17 | 文件大小: 95KB | 文件类型: ZIP
单片机超声波测距+温度补偿+报警Proteus仿真(keil完整源项目+源c代码+proteus源仿真电路图)

文件下载

资源详情

[{"title":"( 35 个子文件 95KB ) 单片机超声波测距+温度补偿+报警Proteus仿真(keil完整源项目+源c代码+proteus源仿真电路图)","children":[{"title":"超声波测距","children":[{"title":"程序及仿真文件","children":[{"title":"测距-SRF04.pdsprj <span style='color:#111;'> 20.56KB </span>","children":null,"spread":false},{"title":"源代码","children":[{"title":"project","children":[{"title":"ceju.uvopt <span style='color:#111;'> 59.24KB </span>","children":null,"spread":false},{"title":"ceju_uvopt.bak <span style='color:#111;'> 59.24KB </span>","children":null,"spread":false},{"title":"ceju_uvproj.bak <span style='color:#111;'> 14.55KB </span>","children":null,"spread":false},{"title":"ceju.bak <span style='color:#111;'> 60.70KB </span>","children":null,"spread":false},{"title":"ceju.uvproj <span style='color:#111;'> 14.56KB </span>","children":null,"spread":false},{"title":"module_uvproj.bak <span style='color:#111;'> 14.55KB </span>","children":null,"spread":false}],"spread":true},{"title":"output","children":[{"title":"main.lst <span style='color:#111;'> 3.77KB </span>","children":null,"spread":false},{"title":"chaoshengbo.lst <span style='color:#111;'> 5.91KB </span>","children":null,"spread":false},{"title":"module <span style='color:#111;'> 32.83KB </span>","children":null,"spread":false},{"title":"module.lnp <span style='color:#111;'> 198B </span>","children":null,"spread":false},{"title":"module.hex <span style='color:#111;'> 9.42KB </span>","children":null,"spread":false},{"title":"module.plg <span style='color:#111;'> 401B </span>","children":null,"spread":false},{"title":"ds18b20.obj <span style='color:#111;'> 9.18KB </span>","children":null,"spread":false},{"title":"delay.lst <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"delay.obj <span style='color:#111;'> 4.48KB </span>","children":null,"spread":false},{"title":"chaoshengbo.obj <span style='color:#111;'> 9.42KB </span>","children":null,"spread":false},{"title":"main.obj <span style='color:#111;'> 6.05KB </span>","children":null,"spread":false},{"title":"ds18b20.__i <span style='color:#111;'> 103B </span>","children":null,"spread":false},{"title":"lcd1602.__i <span style='color:#111;'> 103B </span>","children":null,"spread":false},{"title":"module.m51 <span style='color:#111;'> 33.36KB </span>","children":null,"spread":false},{"title":"chaoshengbo.__i <span style='color:#111;'> 115B </span>","children":null,"spread":false},{"title":"lcd1602.obj <span style='color:#111;'> 8.60KB </span>","children":null,"spread":false},{"title":"lcd1602.lst <span style='color:#111;'> 6.23KB </span>","children":null,"spread":false},{"title":"ds18b20.lst <span style='color:#111;'> 6.68KB </span>","children":null,"spread":false}],"spread":false},{"title":"src","children":[{"title":"chaoshengbo.c <span style='color:#111;'> 2.45KB </span>","children":null,"spread":false},{"title":"chaoshengbo.h <span style='color:#111;'> 331B </span>","children":null,"spread":false},{"title":"lcd1602.c <span style='color:#111;'> 2.48KB </span>","children":null,"spread":false},{"title":"config.h <span style='color:#111;'> 1.42KB </span>","children":null,"spread":false},{"title":"lcd1602.h <span style='color:#111;'> 325B </span>","children":null,"spread":false},{"title":"delay.c <span style='color:#111;'> 955B </span>","children":null,"spread":false},{"title":"ds18b20.c <span style='color:#111;'> 2.96KB </span>","children":null,"spread":false},{"title":"delay.h <span style='color:#111;'> 207B </span>","children":null,"spread":false},{"title":"ds18b20.h <span style='color:#111;'> 306B </span>","children":null,"spread":false},{"title":"main.c <span style='color:#111;'> 1.83KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

  • woslhy2009 :
    用户下载后在一定时间内未进行评价,系统默认好评。
    2021-11-26

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明