香农、费诺、霍夫曼编码C++版.rar

上传者: 42233261 | 上传时间: 2021-05-21 14:00:31 | 文件大小: 6.16MB | 文件类型: RAR
任选信源符号和概率,实现香农,费诺,霍夫曼编码,并得到编码后的结果。代码备注详尽,每一步都有仔细的说明。注意是C++版,在VS2017上已经调试通过了!希望能够帮助到大家。

文件下载

资源详情

[{"title":"( 61 个子文件 6.16MB ) 香农、费诺、霍夫曼编码C++版.rar","children":[{"title":"huofuman","children":[{"title":"huofuman","children":[{"title":"huofuman.vcxproj.filters <span style='color:#111;'> 946B </span>","children":null,"spread":false},{"title":"test1.cpp <span style='color:#111;'> 2.11KB </span>","children":null,"spread":false},{"title":"x64","children":[{"title":"Debug","children":[{"title":"vc140.pdb <span style='color:#111;'> 348.00KB </span>","children":null,"spread":false},{"title":"vc140.idb <span style='color:#111;'> 299.00KB </span>","children":null,"spread":false},{"title":"test1.obj <span style='color:#111;'> 72.16KB </span>","children":null,"spread":false},{"title":"huofuman.log <span style='color:#111;'> 225B </span>","children":null,"spread":false},{"title":"huofuman.tlog","children":[{"title":"CL.read.1.tlog <span style='color:#111;'> 9.04KB </span>","children":null,"spread":false},{"title":"link.read.1.tlog <span style='color:#111;'> 2.63KB </span>","children":null,"spread":false},{"title":"link.write.1.tlog <span style='color:#111;'> 504B </span>","children":null,"spread":false},{"title":"CL.write.1.tlog <span style='color:#111;'> 520B </span>","children":null,"spread":false},{"title":"link.command.1.tlog <span style='color:#111;'> 1.22KB </span>","children":null,"spread":false},{"title":"huofuman.lastbuildstate <span style='color:#111;'> 217B </span>","children":null,"spread":false},{"title":"CL.command.1.tlog <span style='color:#111;'> 634B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"huofuman.vcxproj <span style='color:#111;'> 7.21KB </span>","children":null,"spread":false}],"spread":true},{"title":".vs","children":[{"title":"huofuman","children":[{"title":"v14","children":[{"title":".suo <span style='color:#111;'> 28.00KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"huofuman.sln <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"x64","children":[{"title":"Debug","children":[{"title":"huofuman.pdb <span style='color:#111;'> 924.00KB </span>","children":null,"spread":false},{"title":"huofuman.exe <span style='color:#111;'> 74.50KB </span>","children":null,"spread":false},{"title":"huofuman.ilk <span style='color:#111;'> 462.73KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"huofuman.VC.db <span style='color:#111;'> 7.92MB </span>","children":null,"spread":false}],"spread":true},{"title":"testxiangnong","children":[{"title":"Debug","children":null,"spread":false},{"title":"testxiangnong.VC.db <span style='color:#111;'> 7.88MB </span>","children":null,"spread":false},{"title":".vs","children":[{"title":"testxiangnong","children":[{"title":"v14","children":[{"title":".suo <span style='color:#111;'> 27.00KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"x64","children":[{"title":"Debug","children":[{"title":"testxiangnong.pdb <span style='color:#111;'> 868.00KB </span>","children":null,"spread":false},{"title":"testxiangnong.ilk <span style='color:#111;'> 437.23KB </span>","children":null,"spread":false},{"title":"testxiangnong.exe <span style='color:#111;'> 68.50KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"testxiangnong.sln <span style='color:#111;'> 1.29KB </span>","children":null,"spread":false},{"title":"testxiangnong","children":[{"title":"testxiangnong.vcxproj.filters <span style='color:#111;'> 950B </span>","children":null,"spread":false},{"title":"x64","children":[{"title":"Debug","children":[{"title":"vc140.pdb <span style='color:#111;'> 348.00KB </span>","children":null,"spread":false},{"title":"vc140.idb <span style='color:#111;'> 411.00KB </span>","children":null,"spread":false},{"title":"huffman.obj <span style='color:#111;'> 72.08KB </span>","children":null,"spread":false},{"title":"xiangnong.obj <span style='color:#111;'> 62.43KB </span>","children":null,"spread":false},{"title":"testxiangnong.log <span style='color:#111;'> 430B </span>","children":null,"spread":false},{"title":"testxiangnong.tlog","children":[{"title":"CL.read.1.tlog <span style='color:#111;'> 18.09KB </span>","children":null,"spread":false},{"title":"link.read.1.tlog <span style='color:#111;'> 2.68KB </span>","children":null,"spread":false},{"title":"link.write.1.tlog <span style='color:#111;'> 592B </span>","children":null,"spread":false},{"title":"CL.write.1.tlog <span style='color:#111;'> 1.20KB </span>","children":null,"spread":false},{"title":"link.command.1.tlog <span style='color:#111;'> 1.31KB </span>","children":null,"spread":false},{"title":"testxiangnong.lastbuildstate <span style='color:#111;'> 222B </span>","children":null,"spread":false},{"title":"CL.command.1.tlog <span style='color:#111;'> 1.35KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true},{"title":"testxiangnong.vcxproj <span style='color:#111;'> 7.21KB </span>","children":null,"spread":false},{"title":"xiangnong.cpp <span style='color:#111;'> 2.63KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"feinuo","children":[{"title":"Debug","children":null,"spread":false},{"title":"feinuo.sln <span style='color:#111;'> 1.27KB </span>","children":null,"spread":false},{"title":".vs","children":[{"title":"feinuo","children":[{"title":"v14","children":[{"title":".suo <span style='color:#111;'> 29.00KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"feinuo.VC.db <span style='color:#111;'> 8.36MB </span>","children":null,"spread":false},{"title":"x64","children":[{"title":"Debug","children":[{"title":"feinuo.exe <span style='color:#111;'> 73.00KB </span>","children":null,"spread":false},{"title":"feinuo.pdb <span style='color:#111;'> 900.00KB </span>","children":null,"spread":false},{"title":"feinuo.ilk <span style='color:#111;'> 443.60KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"feinuo","children":[{"title":"feinuo.vcxproj <span style='color:#111;'> 7.20KB </span>","children":null,"spread":false},{"title":"test1.cpp <span style='color:#111;'> 2.75KB </span>","children":null,"spread":false},{"title":"feinuo.vcxproj.filters <span style='color:#111;'> 946B </span>","children":null,"spread":false},{"title":"x64","children":[{"title":"Debug","children":[{"title":"vc140.pdb <span style='color:#111;'> 348.00KB </span>","children":null,"spread":false},{"title":"vc140.idb <span style='color:#111;'> 315.00KB </span>","children":null,"spread":false},{"title":"feinuo.log <span style='color:#111;'> 2.94KB </span>","children":null,"spread":false},{"title":"test1.obj <span style='color:#111;'> 91.45KB </span>","children":null,"spread":false},{"title":"feinuo.tlog","children":[{"title":"feinuo.lastbuildstate <span style='color:#111;'> 215B </span>","children":null,"spread":false},{"title":"CL.read.1.tlog <span style='color:#111;'> 9.14KB </span>","children":null,"spread":false},{"title":"link.read.1.tlog <span style='color:#111;'> 2.61KB </span>","children":null,"spread":false},{"title":"link.write.1.tlog <span style='color:#111;'> 472B </span>","children":null,"spread":false},{"title":"CL.write.1.tlog <span style='color:#111;'> 488B </span>","children":null,"spread":false},{"title":"link.command.1.tlog <span style='color:#111;'> 1.19KB </span>","children":null,"spread":false},{"title":"CL.command.1.tlog <span style='color:#111;'> 618B </span>","children":null,"spread":false}],"spread":false}],"spread":true}],"spread":true}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明