简易数字频率计(自动换挡).zip

上传者: 41805668 | 上传时间: 2021-06-13 18:03:27 | 文件大小: 366KB | 文件类型: ZIP
用quartus2编译和仿真的,就三个模块,vhdl的程序,很简单,有三个档:1档为Hz级的,2档为KHz级的,3档为MHz级的。fen模块要注意,使用的3MHz的分频频率是可以改变的,不固定。绝对让你满意

文件下载

资源详情

[{"title":"( 87 个子文件 366KB ) 简易数字频率计(自动换挡).zip","children":[{"title":"简易数字频率计(自动换挡)","children":[{"title":"简介.txt <span style='color:#111;'> 162B </span>","children":null,"spread":false},{"title":"fen.txt <span style='color:#111;'> 518B </span>","children":null,"spread":false},{"title":"counter","children":[{"title":"counter.map.rpt <span style='color:#111;'> 16.71KB </span>","children":null,"spread":false},{"title":"fen.bsf <span style='color:#111;'> 2.16KB </span>","children":null,"spread":false},{"title":"counter.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"counter.sim.rpt <span style='color:#111;'> 4.37KB </span>","children":null,"spread":false},{"title":"db","children":[{"title":"counter.(4).cnf.hdb <span style='color:#111;'> 617B </span>","children":null,"spread":false},{"title":"counter.sim.rdb <span style='color:#111;'> 1.59KB </span>","children":null,"spread":false},{"title":"counter.db_info <span style='color:#111;'> 133B </span>","children":null,"spread":false},{"title":"counter.icc <span style='color:#111;'> 24.44KB </span>","children":null,"spread":false},{"title":"counter.sim.vwf <span style='color:#111;'> 12.39KB </span>","children":null,"spread":false},{"title":"counter.(9).cnf.hdb <span style='color:#111;'> 879B </span>","children":null,"spread":false},{"title":"counter.hif <span style='color:#111;'> 10.71KB </span>","children":null,"spread":false},{"title":"counter.cmp.tdb <span style='color:#111;'> 33.11KB </span>","children":null,"spread":false},{"title":"counter.(9).cnf.cdb <span style='color:#111;'> 2.32KB </span>","children":null,"spread":false},{"title":"counter.cmp.cdb <span style='color:#111;'> 39.72KB </span>","children":null,"spread":false},{"title":"counter.(1).cnf.hdb <span style='color:#111;'> 934B </span>","children":null,"spread":false},{"title":"counter.map.hdb <span style='color:#111;'> 14.50KB </span>","children":null,"spread":false},{"title":"counter.(4).cnf.cdb <span style='color:#111;'> 1.02KB </span>","children":null,"spread":false},{"title":"counter.(3).cnf.hdb <span style='color:#111;'> 807B </span>","children":null,"spread":false},{"title":"counter.(3).cnf.cdb <span style='color:#111;'> 1.46KB </span>","children":null,"spread":false},{"title":"counter.sgdiff.cdb <span style='color:#111;'> 12.44KB </span>","children":null,"spread":false},{"title":"counter_cmp.qrpt <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"cntr_2c7.tdf <span style='color:#111;'> 3.96KB </span>","children":null,"spread":false},{"title":"counter.dat_manager.dat <span style='color:#111;'> 10.11KB </span>","children":null,"spread":false},{"title":"counter.cmp.hdb <span style='color:#111;'> 14.55KB </span>","children":null,"spread":false},{"title":"counter.(2).cnf.cdb <span style='color:#111;'> 1.91KB </span>","children":null,"spread":false},{"title":"counter.(0).cnf.cdb <span style='color:#111;'> 1.24KB </span>","children":null,"spread":false},{"title":"counter.(5).cnf.hdb <span style='color:#111;'> 793B </span>","children":null,"spread":false},{"title":"counter.sld_design_entry.sci <span style='color:#111;'> 134B </span>","children":null,"spread":false},{"title":"counter.map.qmsg <span style='color:#111;'> 6.50KB </span>","children":null,"spread":false},{"title":"counter.sim.qmsg <span style='color:#111;'> 1.31KB </span>","children":null,"spread":false},{"title":"counter.pre_map.hdb <span style='color:#111;'> 14.09KB </span>","children":null,"spread":false},{"title":"counter.map.cdb <span style='color:#111;'> 12.00KB </span>","children":null,"spread":false},{"title":"cntr_md7.tdf <span style='color:#111;'> 9.01KB </span>","children":null,"spread":false},{"title":"counter.tan.qmsg <span style='color:#111;'> 45.49KB </span>","children":null,"spread":false},{"title":"counter.(0).cnf.hdb <span style='color:#111;'> 695B </span>","children":null,"spread":false},{"title":"counter.(7).cnf.hdb <span style='color:#111;'> 887B </span>","children":null,"spread":false},{"title":"counter.(2).cnf.hdb <span style='color:#111;'> 468B </span>","children":null,"spread":false},{"title":"counter.cmp.rdb <span style='color:#111;'> 13.92KB </span>","children":null,"spread":false},{"title":"counter.rtlv.hdb <span style='color:#111;'> 14.05KB </span>","children":null,"spread":false},{"title":"counter.fit.qmsg <span style='color:#111;'> 2.83KB </span>","children":null,"spread":false},{"title":"counter.sld_design_entry_dsc.sci <span style='color:#111;'> 134B </span>","children":null,"spread":false},{"title":"counter.rtlv_sg.cdb <span style='color:#111;'> 10.09KB </span>","children":null,"spread":false},{"title":"counter.project.hdb <span style='color:#111;'> 8.83KB </span>","children":null,"spread":false},{"title":"counter.(6).cnf.hdb <span style='color:#111;'> 619B </span>","children":null,"spread":false},{"title":"counter.(8).cnf.cdb <span style='color:#111;'> 1.32KB </span>","children":null,"spread":false},{"title":"counter.sim.hdb <span style='color:#111;'> 4.38KB </span>","children":null,"spread":false},{"title":"counter.(8).cnf.hdb <span style='color:#111;'> 619B </span>","children":null,"spread":false},{"title":"counter.cmp.ddb <span style='color:#111;'> 1.46KB </span>","children":null,"spread":false},{"title":"counter.(5).cnf.cdb <span style='color:#111;'> 1.36KB </span>","children":null,"spread":false},{"title":"counter.hier_info <span style='color:#111;'> 5.80KB </span>","children":null,"spread":false},{"title":"counter.syn_hier_info <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"counter.(6).cnf.cdb <span style='color:#111;'> 1.37KB </span>","children":null,"spread":false},{"title":"counter.(7).cnf.cdb <span style='color:#111;'> 2.50KB </span>","children":null,"spread":false},{"title":"counter.(1).cnf.cdb <span style='color:#111;'> 11.57KB </span>","children":null,"spread":false},{"title":"counter.asm.qmsg <span style='color:#111;'> 1.08KB </span>","children":null,"spread":false},{"title":"counter.rtlv_sg_swap.cdb <span style='color:#111;'> 907B </span>","children":null,"spread":false},{"title":"counter.sgdiff.hdb <span style='color:#111;'> 22.16KB </span>","children":null,"spread":false},{"title":"counter_sim.qrpt <span style='color:#111;'> 0B </span>","children":null,"spread":false}],"spread":false},{"title":"counter.vwf <span style='color:#111;'> 12.02KB </span>","children":null,"spread":false},{"title":"counter.pin <span style='color:#111;'> 18.74KB </span>","children":null,"spread":false},{"title":"counter.fit.eqn <span style='color:#111;'> 40.63KB </span>","children":null,"spread":false},{"title":"counter.bdf <span style='color:#111;'> 12.59KB </span>","children":null,"spread":false},{"title":"counter.asm.rpt <span style='color:#111;'> 8.22KB </span>","children":null,"spread":false},{"title":"counter.fit.summary <span style='color:#111;'> 388B </span>","children":null,"spread":false},{"title":"counter.pof <span style='color:#111;'> 207.14KB </span>","children":null,"spread":false},{"title":"counter.sof <span style='color:#111;'> 56.61KB </span>","children":null,"spread":false},{"title":"counter.tan.summary <span style='color:#111;'> 1.96KB </span>","children":null,"spread":false},{"title":"counter.tan.rpt <span style='color:#111;'> 154.44KB </span>","children":null,"spread":false},{"title":"counter.cdf <span style='color:#111;'> 296B </span>","children":null,"spread":false},{"title":"sim.cfg <span style='color:#111;'> 7B </span>","children":null,"spread":false},{"title":"lock.vhd <span style='color:#111;'> 548B </span>","children":null,"spread":false},{"title":"counter.fit.rpt <span style='color:#111;'> 39.69KB </span>","children":null,"spread":false},{"title":"cmp_state.ini <span style='color:#111;'> 3B </span>","children":null,"spread":false},{"title":"counter.map.summary <span style='color:#111;'> 327B </span>","children":null,"spread":false},{"title":"counter.qpf <span style='color:#111;'> 1.52KB </span>","children":null,"spread":false},{"title":"corna.vhd <span style='color:#111;'> 2.56KB </span>","children":null,"spread":false},{"title":"lock.bsf <span style='color:#111;'> 3.86KB </span>","children":null,"spread":false},{"title":"corna.bsf <span style='color:#111;'> 3.48KB </span>","children":null,"spread":false},{"title":"counter.qsf <span style='color:#111;'> 3.83KB </span>","children":null,"spread":false},{"title":"fen.vhd <span style='color:#111;'> 518B </span>","children":null,"spread":false},{"title":"counter.map.eqn <span style='color:#111;'> 37.36KB </span>","children":null,"spread":false},{"title":"counter.flow.rpt <span style='color:#111;'> 3.96KB </span>","children":null,"spread":false},{"title":"counter.qws <span style='color:#111;'> 677B </span>","children":null,"spread":false}],"spread":false},{"title":"lock.txt <span style='color:#111;'> 548B </span>","children":null,"spread":false},{"title":"corna.txt <span style='color:#111;'> 2.56KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明