路科V2实验四分析与详解(内看代码和思路)

上传者: 40549426 | 上传时间: 2022-06-15 09:01:57 | 文件大小: 2.36MB | 文件类型: ZIP
内置选做和必做的的实验, 层层递进,逐步了解验证的结构,通俗易懂。 逐步实现了sv向uvm结构的过渡。 适合刚入门的小白, 作为System Verilog的学习值得推荐, 动手能力迅速提升。 注明: 本实验所用软件Questa Sim,配套使用,可以找我要相关软件的安装包。

文件下载

资源详情

[{"title":"( 24 个子文件 2.36MB ) 路科V2实验四分析与详解(内看代码和思路)","children":[{"title":"svlab4","children":[{"title":"svlab4.cr.mti <span style='color:#111;'> 3.38KB </span>","children":null,"spread":false},{"title":"formater.v <span style='color:#111;'> 7.86KB </span>","children":null,"spread":false},{"title":"实验四.doc <span style='color:#111;'> 1.97MB </span>","children":null,"spread":false},{"title":"arbiter.v <span style='color:#111;'> 5.38KB </span>","children":null,"spread":false},{"title":"chnl_pkg.sv <span style='color:#111;'> 6.62KB </span>","children":null,"spread":false},{"title":"fmt_pkg.sv <span style='color:#111;'> 8.15KB </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"work","children":[{"title":"_lib1_0.qdb <span style='color:#111;'> 32.00KB </span>","children":null,"spread":false},{"title":"_lib1_0.qpg <span style='color:#111;'> 2.70MB </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 29B </span>","children":null,"spread":false},{"title":"_lib1_0.qtl <span style='color:#111;'> 179.76KB </span>","children":null,"spread":false},{"title":"_lib.qdb <span style='color:#111;'> 48.00KB </span>","children":null,"spread":false},{"title":"_info <span style='color:#111;'> 5.57KB </span>","children":null,"spread":false}],"spread":true},{"title":"tb.sv <span style='color:#111;'> 4.05KB </span>","children":null,"spread":false},{"title":"param_def.v <span style='color:#111;'> 670B </span>","children":null,"spread":false},{"title":"mcdf.v <span style='color:#111;'> 5.28KB </span>","children":null,"spread":false},{"title":"mcdf_data_consistence_basic_test_check.log <span style='color:#111;'> 95.86KB </span>","children":null,"spread":false},{"title":"reg_pkg.sv <span style='color:#111;'> 6.45KB </span>","children":null,"spread":false},{"title":"arb_pkg.sv <span style='color:#111;'> 286B </span>","children":null,"spread":false},{"title":"svlab4.mpf <span style='color:#111;'> 102.24KB </span>","children":null,"spread":false},{"title":"rpt_pkg.sv <span style='color:#111;'> 1.62KB </span>","children":null,"spread":false},{"title":"mcdf_pkg.sv <span style='color:#111;'> 11.99KB </span>","children":null,"spread":false},{"title":"slave_fifo.v <span style='color:#111;'> 3.33KB </span>","children":null,"spread":false},{"title":"reg.v <span style='color:#111;'> 3.57KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明