FPGA驱动摄像头采集图像实现LCD显示

上传者: 38536770 | 上传时间: 2020-02-01 03:11:09 | 文件大小: 4.93MB | 文件类型: zip
实现基于FPGA的图像采集,并实现LCD显示,像素采集为500万

文件下载

资源详情

[{"title":"( 92 个子文件 4.93MB ) FPGA驱动摄像头采集图像实现LCD显示","children":[{"title":"27_ov5640_lcd","children":[{"title":"webtalk.log <span style='color:#111;'> 725B </span>","children":null,"spread":false},{"title":"ov5640_lcd.stx <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"ov5640_lcd_par.xrpt <span style='color:#111;'> 204.25KB </span>","children":null,"spread":false},{"title":"rtl","children":[{"title":"ov5640_lcd.v <span style='color:#111;'> 7.77KB </span>","children":null,"spread":false},{"title":"ddr_rw.v <span style='color:#111;'> 16.24KB </span>","children":null,"spread":false},{"title":"reg_config.v <span style='color:#111;'> 16.78KB </span>","children":null,"spread":false},{"title":"vga_disp.v <span style='color:#111;'> 7.05KB </span>","children":null,"spread":false},{"title":"power_on_delay.v <span style='color:#111;'> 1.28KB </span>","children":null,"spread":false},{"title":"i2c_com.v <span style='color:#111;'> 3.23KB </span>","children":null,"spread":false},{"title":"lcd_disp.v <span style='color:#111;'> 7.21KB </span>","children":null,"spread":false}],"spread":true},{"title":"webtalk_pn.xml <span style='color:#111;'> 3.45KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.par <span style='color:#111;'> 21.61KB </span>","children":null,"spread":false},{"title":"_impact.log <span style='color:#111;'> 8.47KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.unroutes <span style='color:#111;'> 161B </span>","children":null,"spread":false},{"title":"ov5640_lcd.bld <span style='color:#111;'> 6.38KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_envsettings.html <span style='color:#111;'> 16.23KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.xst <span style='color:#111;'> 1.16KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.drc <span style='color:#111;'> 778B </span>","children":null,"spread":false},{"title":"ov5640_lcd.twr <span style='color:#111;'> 135.42KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_pad.txt <span style='color:#111;'> 66.40KB </span>","children":null,"spread":false},{"title":"chipscope_ila.ngc <span style='color:#111;'> 898.14KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_usage.xml <span style='color:#111;'> 201.64KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_bitgen.xwbt <span style='color:#111;'> 200B </span>","children":null,"spread":false},{"title":"xst","children":[{"title":"work","children":[{"title":"work.sdbx <span style='color:#111;'> 467B </span>","children":null,"spread":false},{"title":"work.sdbl <span style='color:#111;'> 961.16KB </span>","children":null,"spread":false}],"spread":false},{"title":"dump.xst","children":[{"title":"ov7670_vga.prj","children":null,"spread":false},{"title":"ov5640_lcd.prj","children":null,"spread":false},{"title":"ov5640_vga.prj","children":null,"spread":false}],"spread":false},{"title":"projnav.tmp","children":null,"spread":false}],"spread":false},{"title":"ov5640_lcd.ngr <span style='color:#111;'> 978.89KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.bit <span style='color:#111;'> 1.42MB </span>","children":null,"spread":false},{"title":"remote_sources","children":[{"title":"_","children":[{"title":"rtl","children":[{"title":"infrastructure.v <span style='color:#111;'> 11.80KB </span>","children":null,"spread":false},{"title":"memc_wrapper.v <span style='color:#111;'> 64.55KB </span>","children":null,"spread":false},{"title":"mcb_controller","children":[{"title":"iodrp_mcb_controller.v <span style='color:#111;'> 15.06KB </span>","children":null,"spread":false},{"title":"iodrp_controller.v <span style='color:#111;'> 11.16KB </span>","children":null,"spread":false},{"title":"mcb_soft_calibration.v <span style='color:#111;'> 66.71KB </span>","children":null,"spread":false},{"title":"mcb_soft_calibration_top.v <span style='color:#111;'> 12.53KB </span>","children":null,"spread":false},{"title":"mcb_ui_top.v <span style='color:#111;'> 111.20KB </span>","children":null,"spread":false},{"title":"mcb_raw_wrapper.v <span style='color:#111;'> 262.03KB </span>","children":null,"spread":false}],"spread":false},{"title":"mig_39_2.v <span style='color:#111;'> 42.39KB </span>","children":null,"spread":false}],"spread":false},{"title":"synth","children":[{"title":"mig_39_2.lso <span style='color:#111;'> 6B </span>","children":null,"spread":false}],"spread":false}],"spread":false}],"spread":false},{"title":"_xmsgs","children":[{"title":"trce.xmsgs <span style='color:#111;'> 1.01KB </span>","children":null,"spread":false},{"title":"map.xmsgs <span style='color:#111;'> 10.33KB </span>","children":null,"spread":false},{"title":"pn_parser.xmsgs <span style='color:#111;'> 3.93KB </span>","children":null,"spread":false},{"title":"xst.xmsgs <span style='color:#111;'> 402.05KB </span>","children":null,"spread":false},{"title":"ngdbuild.xmsgs <span style='color:#111;'> 11.30KB </span>","children":null,"spread":false},{"title":"par.xmsgs <span style='color:#111;'> 943B </span>","children":null,"spread":false},{"title":"bitgen.xmsgs <span style='color:#111;'> 1.23KB </span>","children":null,"spread":false}],"spread":false},{"title":"ov5640_lcd.pad <span style='color:#111;'> 16.78KB </span>","children":null,"spread":false},{"title":"chipscope_icon.ngc <span style='color:#111;'> 31.24KB </span>","children":null,"spread":false},{"title":"camera_capture.v <span style='color:#111;'> 2.85KB </span>","children":null,"spread":false},{"title":"_ngo","children":[{"title":"netlist.lst <span style='color:#111;'> 143B </span>","children":null,"spread":false}],"spread":false},{"title":"iseconfig","children":[{"title":"ov7670_vga.projectmgr <span style='color:#111;'> 6.79KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.xreport <span style='color:#111;'> 20.15KB </span>","children":null,"spread":false},{"title":"ov7670_vga.xreport <span style='color:#111;'> 20.02KB </span>","children":null,"spread":false},{"title":"mig_39_2.xreport <span style='color:#111;'> 20.18KB </span>","children":null,"spread":false},{"title":"ov5640_vga.xreport <span style='color:#111;'> 20.20KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.projectmgr <span style='color:#111;'> 7.61KB </span>","children":null,"spread":false},{"title":"ov5640_vga.projectmgr <span style='color:#111;'> 7.03KB </span>","children":null,"spread":false}],"spread":false},{"title":"ov5640_lcd.bgn <span style='color:#111;'> 7.42KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.ngc <span style='color:#111;'> 945.41KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_map.ncd <span style='color:#111;'> 527.01KB </span>","children":null,"spread":false},{"title":"_impact.cmd <span style='color:#111;'> 2.24KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_summary.xml <span style='color:#111;'> 409B </span>","children":null,"spread":false},{"title":"ov5640_vga_summary.html <span style='color:#111;'> 4.01KB </span>","children":null,"spread":false},{"title":"par_usage_statistics.html <span style='color:#111;'> 4.05KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_pad.csv <span style='color:#111;'> 16.81KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.ut <span style='color:#111;'> 553B </span>","children":null,"spread":false},{"title":"ov5640_lcd.xise <span style='color:#111;'> 43.97KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.syr <span style='color:#111;'> 368.42KB </span>","children":null,"spread":false},{"title":"ddr_rw_summary.html <span style='color:#111;'> 4.01KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.prm <span style='color:#111;'> 608B </span>","children":null,"spread":false},{"title":"ov5640_lcd_map.xrpt <span style='color:#111;'> 64.11KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_map.map <span style='color:#111;'> 22.71KB </span>","children":null,"spread":false},{"title":"mig_39_2.ucf <span style='color:#111;'> 15.52KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.ngd <span style='color:#111;'> 2.63MB </span>","children":null,"spread":false},{"title":"ov5640_lcd_guide.ncd <span style='color:#111;'> 1014.65KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_map.ngm <span style='color:#111;'> 5.07MB </span>","children":null,"spread":false},{"title":"ov5640_lcd.twx <span style='color:#111;'> 157.11KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_xst.xrpt <span style='color:#111;'> 20.69KB </span>","children":null,"spread":false},{"title":"xlnx_auto_0_xdb","children":[{"title":"cst.xbcd <span style='color:#111;'> 31.92KB </span>","children":null,"spread":false}],"spread":false},{"title":"ov5640_lcd_summary.html <span style='color:#111;'> 18.56KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.mcs <span style='color:#111;'> 3.89MB </span>","children":null,"spread":false},{"title":"chipscope_icon.v <span style='color:#111;'> 892B </span>","children":null,"spread":false},{"title":"ov5640_lcd.ncd <span style='color:#111;'> 1014.65KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.cmd_log <span style='color:#111;'> 12.43KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.ptwx <span style='color:#111;'> 22.23KB </span>","children":null,"spread":false},{"title":"usage_statistics_webtalk.html <span style='color:#111;'> 224.33KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_map.mrp <span style='color:#111;'> 63.03KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.prj <span style='color:#111;'> 880B </span>","children":null,"spread":false},{"title":"ov5640_lcd.cfi <span style='color:#111;'> 428B </span>","children":null,"spread":false},{"title":"ov5640_lcd.gise <span style='color:#111;'> 19.85KB </span>","children":null,"spread":false},{"title":"ov5640_lcd.xpi <span style='color:#111;'> 45B </span>","children":null,"spread":false},{"title":"ov5640_lcd.pcf <span style='color:#111;'> 119.88KB </span>","children":null,"spread":false},{"title":"ov5640_lcd_ngdbuild.xrpt <span style='color:#111;'> 19.75KB </span>","children":null,"spread":false},{"title":"chipscope_ila.v <span style='color:#111;'> 946B </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明