Calculator.zip

上传者: 38200194 | 上传时间: 2022-06-24 12:29:01 | 文件大小: 176KB | 文件类型: ZIP
使用System32汇编语言开发的计算器程序,具备加减乘除,三角函数,指数函数等功能

文件下载

资源详情

[{"title":"( 18 个子文件 176KB ) Calculator.zip","children":[{"title":"Calculator","children":[{"title":".vs","children":[{"title":"Calculator","children":[{"title":"v15","children":[{"title":".suo <span style='color:#111;'> 30.00KB </span>","children":null,"spread":false},{"title":"Browse.VC.db <span style='color:#111;'> 256.00KB </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true},{"title":"Calculator.sln <span style='color:#111;'> 1.41KB </span>","children":null,"spread":false},{"title":"Debug","children":[{"title":"Calculator.ilk <span style='color:#111;'> 68.56KB </span>","children":null,"spread":false},{"title":"Calculator.exe <span style='color:#111;'> 23.00KB </span>","children":null,"spread":false},{"title":"Calculator.pdb <span style='color:#111;'> 172.00KB </span>","children":null,"spread":false}],"spread":true},{"title":"Calculator","children":[{"title":"Calculator.vcxproj.user <span style='color:#111;'> 165B </span>","children":null,"spread":false},{"title":"Calculator.vcxproj <span style='color:#111;'> 6.36KB </span>","children":null,"spread":false},{"title":"cal.asm <span style='color:#111;'> 24.58KB </span>","children":null,"spread":false},{"title":"Debug","children":[{"title":"Calculator.log <span style='color:#111;'> 89B </span>","children":null,"spread":false},{"title":"cal.obj <span style='color:#111;'> 404.35KB </span>","children":null,"spread":false},{"title":"Calculator.tlog","children":[{"title":"Masm.read.1u.tlog <span style='color:#111;'> 124B </span>","children":null,"spread":false},{"title":"link.command.1.tlog <span style='color:#111;'> 1.23KB </span>","children":null,"spread":false},{"title":"Masm.write.1u.tlog <span style='color:#111;'> 256B </span>","children":null,"spread":false},{"title":"Calculator.lastbuildstate <span style='color:#111;'> 215B </span>","children":null,"spread":false},{"title":"link.write.1.tlog <span style='color:#111;'> 508B </span>","children":null,"spread":false},{"title":"link.read.1.tlog <span style='color:#111;'> 2.34KB </span>","children":null,"spread":false}],"spread":true}],"spread":true},{"title":"Calculator.vcxproj.filters <span style='color:#111;'> 938B </span>","children":null,"spread":false}],"spread":true}],"spread":true}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明