基于verilog的多周期cpu附设计图和流程运行图

上传者: 19658835 | 上传时间: 2019-12-21 20:33:04 | 文件大小: 746KB | 文件类型: zip
包括两个部分第一部分是基于verilog的多周期cpu代码,第二部分是cpu运行时的流程与各个部件均以图的形式表示出来,也就是是我实验报告中的截图,清晰形象。

文件下载

资源详情

[{"title":"( 73 个子文件 746KB ) 基于verilog的多周期cpu附设计图和流程运行图","children":[{"title":"CPUDZQ","children":[{"title":"mux-2.v.bak <span style='color:#111;'> 435B </span>","children":null,"spread":false},{"title":"CPUDZQ.cr.mti <span style='color:#111;'> 2.29KB </span>","children":null,"spread":false},{"title":"cu.v <span style='color:#111;'> 5.96KB </span>","children":null,"spread":false},{"title":"ALU.v <span style='color:#111;'> 845B </span>","children":null,"spread":false},{"title":"ALU.v.bak <span style='color:#111;'> 851B </span>","children":null,"spread":false},{"title":"GR.v.bak <span style='color:#111;'> 5.60KB </span>","children":null,"spread":false},{"title":"mux4.v.bak <span style='color:#111;'> 687B </span>","children":null,"spread":false},{"title":"vsim.wlf <span style='color:#111;'> 40.00KB </span>","children":null,"spread":false},{"title":"mux4.v <span style='color:#111;'> 541B </span>","children":null,"spread":false},{"title":"CPUDZQ.mpf <span style='color:#111;'> 28.90KB </span>","children":null,"spread":false},{"title":"CPU.v <span style='color:#111;'> 3.76KB </span>","children":null,"spread":false},{"title":"work","children":[{"title":"@g@r","children":[{"title":"verilog.asm <span style='color:#111;'> 22.69KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 584B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 5.31KB </span>","children":null,"spread":false}],"spread":true},{"title":"@a@l@u","children":[{"title":"verilog.asm <span style='color:#111;'> 6.27KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 376B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 774B </span>","children":null,"spread":false}],"spread":false},{"title":"@p@c","children":[{"title":"verilog.asm <span style='color:#111;'> 5.01KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 484B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 526B </span>","children":null,"spread":false}],"spread":false},{"title":"@d@z@q@c@p@u","children":[{"title":"verilog.asm <span style='color:#111;'> 23.06KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 469B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 3.61KB </span>","children":null,"spread":false}],"spread":false},{"title":"memory","children":[{"title":"verilog.asm <span style='color:#111;'> 5.69KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 383B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 472B </span>","children":null,"spread":false}],"spread":false},{"title":"register","children":[{"title":"verilog.asm <span style='color:#111;'> 5.36KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 385B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 435B </span>","children":null,"spread":false}],"spread":false},{"title":"mux2","children":[{"title":"verilog.asm <span style='color:#111;'> 5.04KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 337B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 370B </span>","children":null,"spread":false}],"spread":false},{"title":"mux4","children":[{"title":"verilog.asm <span style='color:#111;'> 6.16KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 456B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 582B </span>","children":null,"spread":false}],"spread":false},{"title":"testbench","children":[{"title":"verilog.asm <span style='color:#111;'> 7.60KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 78B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false}],"spread":false},{"title":"@c@u","children":[{"title":"verilog.asm <span style='color:#111;'> 26.77KB </span>","children":null,"spread":false},{"title":"_primary.vhd <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 3.40KB </span>","children":null,"spread":false}],"spread":false},{"title":"_info <span style='color:#111;'> 2.26KB </span>","children":null,"spread":false}],"spread":false},{"title":"mem.v.bak <span style='color:#111;'> 625B </span>","children":null,"spread":false},{"title":"register.v <span style='color:#111;'> 622B </span>","children":null,"spread":false},{"title":"register.v.bak <span style='color:#111;'> 660B </span>","children":null,"spread":false},{"title":"GR.v <span style='color:#111;'> 5.50KB </span>","children":null,"spread":false},{"title":"mux-2.v <span style='color:#111;'> 380B </span>","children":null,"spread":false},{"title":"mem.v <span style='color:#111;'> 521B </span>","children":null,"spread":false},{"title":"CPU.v.bak <span style='color:#111;'> 4.08KB </span>","children":null,"spread":false},{"title":"TEST.v.bak <span style='color:#111;'> 3.20KB </span>","children":null,"spread":false},{"title":"TEST.v <span style='color:#111;'> 3.25KB </span>","children":null,"spread":false},{"title":"pc.v <span style='color:#111;'> 643B </span>","children":null,"spread":false},{"title":"pc.v.bak <span style='color:#111;'> 734B </span>","children":null,"spread":false}],"spread":false},{"title":"TU","children":[{"title":"IMM3(1).png <span style='color:#111;'> 53.84KB </span>","children":null,"spread":false},{"title":"LS.png <span style='color:#111;'> 53.63KB </span>","children":null,"spread":false},{"title":"left2.jpg <span style='color:#111;'> 18.19KB </span>","children":null,"spread":false},{"title":"MUX4.jpg <span style='color:#111;'> 21.42KB </span>","children":null,"spread":false},{"title":"ALU.jpg <span style='color:#111;'> 22.43KB </span>","children":null,"spread":false},{"title":"CU.jpg <span style='color:#111;'> 18.83KB </span>","children":null,"spread":false},{"title":"Target.jpg <span style='color:#111;'> 22.40KB </span>","children":null,"spread":false},{"title":"ZERO4(0).png <span style='color:#111;'> 53.55KB </span>","children":null,"spread":false},{"title":"IMM3(0).png <span style='color:#111;'> 53.72KB </span>","children":null,"spread":false},{"title":"IMM2.png <span style='color:#111;'> 53.66KB </span>","children":null,"spread":false},{"title":"运算1.jpg <span style='color:#111;'> 138.22KB </span>","children":null,"spread":false},{"title":"ZERO3.png <span style='color:#111;'> 54.01KB </span>","children":null,"spread":false},{"title":"MUX2.jpg <span style='color:#111;'> 20.23KB </span>","children":null,"spread":false},{"title":"CPU.png <span style='color:#111;'> 54.18KB </span>","children":null,"spread":false},{"title":"ZERO4(1).png <span style='color:#111;'> 54.46KB </span>","children":null,"spread":false},{"title":"PC.jpg <span style='color:#111;'> 25.52KB </span>","children":null,"spread":false},{"title":"IR.jpg <span style='color:#111;'> 20.63KB </span>","children":null,"spread":false},{"title":"ZERO2.png <span style='color:#111;'> 53.90KB </span>","children":null,"spread":false},{"title":"MEM.jpg <span style='color:#111;'> 21.10KB </span>","children":null,"spread":false},{"title":"运算.jpg <span style='color:#111;'> 135.79KB </span>","children":null,"spread":false}],"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明