FPGA串口发送例程

上传者: 18508847 | 上传时间: 2023-04-11 20:27:31 | 文件大小: 1.23MB | 文件类型: RAR
FPGA串口发送例程,

文件下载

资源详情

[{"title":"( 176 个子文件 1.23MB ) FPGA串口发送例程","children":[{"title":"_info <span style='color:#111;'> 1.37KB </span>","children":null,"spread":false},{"title":"_vmake <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"uart_tx.vpr.ammdb <span style='color:#111;'> 698B </span>","children":null,"spread":false},{"title":"Drawing1.bak <span style='color:#111;'> 65.16KB </span>","children":null,"spread":false},{"title":"uart_tx.v.bak <span style='color:#111;'> 866B </span>","children":null,"spread":false},{"title":"uart_tx_run_msim_rtl_verilog.do.bak <span style='color:#111;'> 607B </span>","children":null,"spread":false},{"title":"uart_tx_tb.v.bak <span style='color:#111;'> 61B </span>","children":null,"spread":false},{"title":"uart_tx_top.v.bak <span style='color:#111;'> 39B </span>","children":null,"spread":false},{"title":"uart_tx_run_msim_rtl_verilog.do.bak1 <span style='color:#111;'> 607B </span>","children":null,"spread":false},{"title":"uart_tx.cmp.cdb <span style='color:#111;'> 51.37KB </span>","children":null,"spread":false},{"title":"uart_tx.map.cdb <span style='color:#111;'> 22.83KB </span>","children":null,"spread":false},{"title":"uart_tx.sgdiff.cdb <span style='color:#111;'> 21.03KB </span>","children":null,"spread":false},{"title":"uart_tx.pre_map.cdb <span style='color:#111;'> 20.69KB </span>","children":null,"spread":false},{"title":"uart_tx.rtlv_sg.cdb <span style='color:#111;'> 18.42KB </span>","children":null,"spread":false},{"title":"uart_tx.(21).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(27).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(23).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(29).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(15).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(11).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(25).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(19).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(17).cnf.cdb <span style='color:#111;'> 8.86KB </span>","children":null,"spread":false},{"title":"uart_tx.(1).cnf.cdb <span style='color:#111;'> 5.76KB </span>","children":null,"spread":false},{"title":"uart_tx.(2).cnf.cdb <span style='color:#111;'> 5.40KB </span>","children":null,"spread":false},{"title":"uart_tx.(13).cnf.cdb <span style='color:#111;'> 4.81KB </span>","children":null,"spread":false},{"title":"uart_tx.(6).cnf.cdb <span style='color:#111;'> 4.76KB </span>","children":null,"spread":false},{"title":"uart_tx.(7).cnf.cdb <span style='color:#111;'> 3.47KB </span>","children":null,"spread":false},{"title":"uart_tx.(22).cnf.cdb <span style='color:#111;'> 3.37KB </span>","children":null,"spread":false},{"title":"uart_tx.(16).cnf.cdb <span style='color:#111;'> 3.37KB </span>","children":null,"spread":false},{"title":"uart_tx.(18).cnf.cdb <span style='color:#111;'> 3.37KB </span>","children":null,"spread":false},{"title":"uart_tx.(28).cnf.cdb <span style='color:#111;'> 3.37KB </span>","children":null,"spread":false},{"title":"uart_tx.(20).cnf.cdb <span style='color:#111;'> 3.36KB </span>","children":null,"spread":false},{"title":"uart_tx.(14).cnf.cdb <span style='color:#111;'> 3.36KB </span>","children":null,"spread":false},{"title":"uart_tx.(8).cnf.cdb <span style='color:#111;'> 3.36KB </span>","children":null,"spread":false},{"title":"uart_tx.(26).cnf.cdb <span style='color:#111;'> 3.36KB </span>","children":null,"spread":false},{"title":"uart_tx.(24).cnf.cdb <span style='color:#111;'> 3.36KB </span>","children":null,"spread":false},{"title":"uart_tx.(12).cnf.cdb <span style='color:#111;'> 2.79KB </span>","children":null,"spread":false},{"title":"uart_tx.(5).cnf.cdb <span style='color:#111;'> 2.33KB </span>","children":null,"spread":false},{"title":"uart_tx.rtlv_sg_swap.cdb <span style='color:#111;'> 2.12KB </span>","children":null,"spread":false},{"title":"uart_tx.(4).cnf.cdb <span style='color:#111;'> 1.93KB </span>","children":null,"spread":false},{"title":"uart_tx.(0).cnf.cdb <span style='color:#111;'> 1.69KB </span>","children":null,"spread":false},{"title":"uart_tx.(9).cnf.cdb <span style='color:#111;'> 1.54KB </span>","children":null,"spread":false},{"title":"uart_tx.(3).cnf.cdb <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"uart_tx.(10).cnf.cdb <span style='color:#111;'> 942B </span>","children":null,"spread":false},{"title":"uart_tx.root_partition.map.reg_db.cdb <span style='color:#111;'> 554B </span>","children":null,"spread":false},{"title":"uart_tx.cdf <span style='color:#111;'> 393B </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.97KB </span>","children":null,"spread":false},{"title":"_primary.dat <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false},{"title":"logic_util_heursitic.dat <span style='color:#111;'> 0B </span>","children":null,"spread":false},{"title":"uart_tx.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"uart_tx.db_info <span style='color:#111;'> 140B </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 2.10KB </span>","children":null,"spread":false},{"title":"_primary.dbs <span style='color:#111;'> 1.20KB </span>","children":null,"spread":false},{"title":"uart_tx.cmp0.ddb <span style='color:#111;'> 76.59KB </span>","children":null,"spread":false},{"title":"uart_tx.asm_labs.ddb <span style='color:#111;'> 2.74KB </span>","children":null,"spread":false},{"title":"uart_tx.tis_db_list.ddb <span style='color:#111;'> 246B </span>","children":null,"spread":false},{"title":"uart_tx.pti_db_list.ddb <span style='color:#111;'> 246B </span>","children":null,"spread":false},{"title":"uart_tx_run_msim_rtl_verilog.do <span style='color:#111;'> 607B </span>","children":null,"spread":false},{"title":"uart_tx.done <span style='color:#111;'> 26B </span>","children":null,"spread":false},{"title":"Drawing1.dwg <span style='color:#111;'> 65.16KB </span>","children":null,"spread":false},{"title":"uart_tx.ae.hdb <span style='color:#111;'> 25.78KB </span>","children":null,"spread":false},{"title":"uart_tx.sgdiff.hdb <span style='color:#111;'> 22.36KB </span>","children":null,"spread":false},{"title":"uart_tx.cmp.hdb <span style='color:#111;'> 21.75KB </span>","children":null,"spread":false},{"title":"uart_tx.map.hdb <span style='color:#111;'> 20.77KB </span>","children":null,"spread":false},{"title":"uart_tx.pre_map.hdb <span style='color:#111;'> 18.17KB </span>","children":null,"spread":false},{"title":"uart_tx.rtlv.hdb <span style='color:#111;'> 17.48KB </span>","children":null,"spread":false},{"title":"uart_tx.(23).cnf.hdb <span style='color:#111;'> 2.99KB </span>","children":null,"spread":false},{"title":"uart_tx.(25).cnf.hdb <span style='color:#111;'> 2.97KB </span>","children":null,"spread":false},{"title":"uart_tx.(19).cnf.hdb <span style='color:#111;'> 2.97KB </span>","children":null,"spread":false},{"title":"uart_tx.(17).cnf.hdb <span style='color:#111;'> 2.96KB </span>","children":null,"spread":false},{"title":"uart_tx.(11).cnf.hdb <span style='color:#111;'> 2.95KB </span>","children":null,"spread":false},{"title":"uart_tx.(29).cnf.hdb <span style='color:#111;'> 2.93KB </span>","children":null,"spread":false},{"title":"uart_tx.(21).cnf.hdb <span style='color:#111;'> 2.91KB </span>","children":null,"spread":false},{"title":"uart_tx.(27).cnf.hdb <span style='color:#111;'> 2.91KB </span>","children":null,"spread":false},{"title":"uart_tx.(15).cnf.hdb <span style='color:#111;'> 2.90KB </span>","children":null,"spread":false},{"title":"uart_tx.(24).cnf.hdb <span style='color:#111;'> 2.30KB </span>","children":null,"spread":false},{"title":"uart_tx.(22).cnf.hdb <span style='color:#111;'> 2.29KB </span>","children":null,"spread":false},{"title":"uart_tx.(8).cnf.hdb <span style='color:#111;'> 2.28KB </span>","children":null,"spread":false},{"title":"uart_tx.(28).cnf.hdb <span style='color:#111;'> 2.28KB </span>","children":null,"spread":false},{"title":"uart_tx.(16).cnf.hdb <span style='color:#111;'> 2.28KB </span>","children":null,"spread":false},{"title":"uart_tx.(18).cnf.hdb <span style='color:#111;'> 2.28KB </span>","children":null,"spread":false},{"title":"uart_tx.(20).cnf.hdb <span style='color:#111;'> 2.25KB </span>","children":null,"spread":false},{"title":"uart_tx.(26).cnf.hdb <span style='color:#111;'> 2.25KB </span>","children":null,"spread":false},{"title":"uart_tx.(14).cnf.hdb <span style='color:#111;'> 2.25KB </span>","children":null,"spread":false},{"title":"uart_tx.(6).cnf.hdb <span style='color:#111;'> 1.76KB </span>","children":null,"spread":false},{"title":"uart_tx.(1).cnf.hdb <span style='color:#111;'> 1.55KB </span>","children":null,"spread":false},{"title":"uart_tx.(5).cnf.hdb <span style='color:#111;'> 1.54KB </span>","children":null,"spread":false},{"title":"uart_tx.(13).cnf.hdb <span style='color:#111;'> 1.39KB </span>","children":null,"spread":false},{"title":"uart_tx.(9).cnf.hdb <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"uart_tx.(4).cnf.hdb <span style='color:#111;'> 1.25KB </span>","children":null,"spread":false},{"title":"uart_tx.(2).cnf.hdb <span style='color:#111;'> 1.22KB </span>","children":null,"spread":false},{"title":"uart_tx.(7).cnf.hdb <span style='color:#111;'> 1.21KB </span>","children":null,"spread":false},{"title":"uart_tx.(0).cnf.hdb <span style='color:#111;'> 1.05KB </span>","children":null,"spread":false},{"title":"uart_tx.(12).cnf.hdb <span style='color:#111;'> 1008B </span>","children":null,"spread":false},{"title":"uart_tx.(10).cnf.hdb <span style='color:#111;'> 975B </span>","children":null,"spread":false},{"title":"uart_tx.(3).cnf.hdb <span style='color:#111;'> 841B </span>","children":null,"spread":false},{"title":"uart_tx.hier_info <span style='color:#111;'> 13.81KB </span>","children":null,"spread":false},{"title":"uart_tx.hif <span style='color:#111;'> 2.55KB </span>","children":null,"spread":false},{"title":"uart_tx.lpc.html <span style='color:#111;'> 987B </span>","children":null,"spread":false},{"title":"......","children":null,"spread":false},{"title":"<span style='color:steelblue;'>文件过多,未全部展示</span>","children":null,"spread":false}],"spread":true}]

评论信息

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明