Ycbcr转换为RGB的verilog源代码

上传者: qinlihong111 | 上传时间: 2021-11-04 21:22:06 | 文件大小: 1KB | 文件类型: -
Ycbcr转换为RGB的FPGA硬件实现,使用verilog语言。

文件下载

评论信息

  • steamtank2 :
    用最简单的方式实现的,代码风格不是很好
    2013-08-20
  • jinchun101607 :
    代码 不全,,但可以参考
    2013-06-20
  • chenchaoxiu :
    这算坑爹吗?代码给的不全。。。里面有个mac的函数没给出来就直接调用。。
    2013-04-22
  • easlia :
    这个 不完整 貌似啊
    2012-10-25
  • fyliuzju :
    视频技术中,ycbcr格式转换基本的rgb格式。简单易懂
    2012-07-13

免责申明

【只为小站】的资源来自网友分享,仅供学习研究,请务必在下载后24小时内给予删除,不得用于其他任何用途,否则后果自负。基于互联网的特殊性,【只为小站】 无法对用户传输的作品、信息、内容的权属或合法性、合规性、真实性、科学性、完整权、有效性等进行实质审查;无论 【只为小站】 经营者是否已进行审查,用户均应自行承担因其传输的作品、信息、内容而可能或已经产生的侵权或权属纠纷等法律责任。
本站所有资源不代表本站的观点或立场,基于网友分享,根据中国法律《信息网络传播权保护条例》第二十二条之规定,若资源存在侵权或相关问题请联系本站客服人员,zhiweidada#qq.com,请把#换成@,本站将给予最大的支持与配合,做到及时反馈和处理。关于更多版权及免责申明参见 版权及免责申明